Research Publications Facilities Safety Contributors Links Gallery

Publications

2024

Yuming Huang, Luis Adrian Padilla Salas, Su-Mi Hur and Christopher K. Ober, Visualization of the Solvent-Induced Chain Organization of Helical Polypeptide Rod Brushes via Aggregation-Induced Behavior of Florescent Probes and Molecular Simulations, in preparation.

Yuming Huang, Warren R. Zipfel and Christopher K. Ober, Towards Precise Control of Surface-Tethered Polypeptide Nanostructures: A Fluorescence-Based Approach, in preparation.

2023

Gozde Aktas Eken, Yuming Huang, Oswald Prucker, Jurgen Ruhe, Christopher Ober, Advancing Glucose Sensing Through Auto-Fluorescent Polymer Brushes: From Surface Design to Nano-Arrays, Small, accepted. 10.1002/smll.202309040

Florian Kaefer, Chaoqiuyu Wang, Yuming Huang, Francesca Bard, Rachel Segalman, Christopher K. Ober, Polypeptoids, exploring the power of sequence control in a photoresist for extreme-ultraviolet lithography, Advanced Materials Technologies, in press; 10.1002/ADMT202301104

Krishnaroop Chaudhari, Riddhiman Medhi, Zhenglin Zhang, Zhouyun Cai, Christopher K. Ober, Jonathan T. Pham, Visualizing Penetration of Fluorescent Dye through Polymer Coatings, Macromolecular Rapid Communications, 10.1002/marc.202300304

Christopher K. Ober, Florian Kaefer, Chenyun Yuan, Recent developments in photoresists for extreme-ultraviolet lithography, Polymer, (2023), 280, 126020 10.1016/j.polymer.2023.126020

Florian Kaefer, Chenyun Yuan, Cameron Adams, Rachel Segalman and Christopher K. Ober, Photoresist Design to Address Stochastics Issues in EUV Resists, J. Photopolym. Sci. Tech., 36(1), 61-66 (2023).

Gozde Aktas Eken, Yuming Huang, Yixin Guo, Christopher K. Ober, Visualization of pH response through auto-fluorescent poly(styrene-alt-N-maleimide) polyelectrolyte brushes, ACS Applied Polymer Materials, 2023, 5, 2, 1613-1623.

Riddhiman Mehdi, Alicia Cintora, Amanda Leonardi, Elisa Martinelli, John A. Finlay, Anthony S. Clare, Christopher K. Ober, Giancarlo Galli, Nitroxide-Containing Amphiphilic Random Terpolymers for Marine Antifouling and Fouling-Release Coatings, ACS Appl. Mat. Inter., 2023, 15, 8, 11150-11162.


2022

Florian Kaefer, Zoey Meng, Rachel Segalman and Christopher K. Ober, Controlled Sequence Photoresists from Polypeptoids, J. Photopol. Sci. Tech., (2022), 35(1), 29-33.

Zhongyang Wang, Chaoqiuyu Wang, Yangyang Sun, Kai Wang, Joseph Strzalka, Fernando A. Escobedo, Christopher K. Ober, Shrayesh N. Patel and Paul F. Nealey, Ion Transport in 2D Nanostructured pi-Conjugated Oligothiophene-Based Liquid Crystals, ACS Nano, 2022, 16(12), 20714-20729; doi.org/10.1021/acsnano.2c07789

Gozde Aktas Eken, Florian Kafer, Chenyun Yuan, Ivan Andrade & Christopher K. Ober. (2022). Synthesis of N-Substituted Maleimides and Poly(styrene-co-N-maleimide) Copolymers and Their Potential Application as Photoresists. Macromolecular Chemistry and Physics. 2200256, doi:10.1002/macp.202200256

Jingyuan Deng, Sean Bailey, Shaoyi Jiang, and Christopher K. Ober, A Modular Synthesis of Phthalaldehyde Derivatives Enabling Access to Photoacid Generator Bound Self-Immolative Polymer Resists with Next Generation Photolithographic Properties, JACS, https://doi.org/10.1021/jacs.2c08202.

Jingyuan Deng, Sean Bailey, Ruiwen Ai, Anthony Delmonico, Gregory Denbeaux, Shaoyi Jiang & Christopher K. Ober. (2022). Synthesis of End-Cap Enabled Self-Immolative Photoresists For Extreme Ultraviolet Lithography. ACS Macro Letters. 11(9), 1049-1054, doi:10.1021/acsmacrolett.2c00395

Jingyuan Deng, Sean Bailey, Shaoyi Jiang & Christopher K. Ober. (2022). High-Performance Chain Scissionable Resists for Extreme Ultraviolet Lithography: Discovery of the Photoacid Generator Structure and Mechanism. Chemistry of Materials. 34(13), 6170-6181, doi:10.1021/acs.chemmater.2c01444

Ratul Thakur, Alexandra Easley, Shaoyang Wang, Yiren Zhang, Christopher K Ober, Jodie Lutkenhaus, Real time quantification of mixed ion and electron transfer associated with the doping of poly(3-hexylthiophene), J. Mater. Chem. C, 2022,10, 7251-7262

Tommaso J Giammaria, Michele Laus, Riccardo Chiarcos, Christopher K Ober, Gabriele Seguinia and Michele Perego, Influence of spin casting solvent on the self-assembly of silicon-containing block copolymer thin films via high temperature thermal treatment, Polymer Int., 2022 doi:10.1002/pi.6362

Gozde Aktas Eken & Christopher K. Ober. (2022). Strong Polyelectrolyte Brushes via Alternating Copolymers of Styrene and Maleimides: Synthesis, Properties, and Stability. Macromolecules. 55(13), 5291-5530, doi:10.1021/acs.macromol.2c00647

Amanda Leonardi, Riddhiman Mehdi, Aria C. Zhang, Nilay Düzen, John A. Finlay, Jessica L. Clarke, Anthony S. Clare, Christopher K. Ober. Investigation of N-Substituted Morpholine Structures in an Amphiphilic PDMS-Based Antifouling and Fouling Release Coating, Biomacromolecules, doi: 10.1021/acs.biomac.1c01474.

Florian Kafer, Jingyuan Deng, Christopher K. Ober, The essential use of fluorochemicals in lithographic patterning and semiconductor processing, JM3, doi:10.1117/1.JMM.21.1.010901.


2021

Alicia Cintora, Florian Kafer, Chenyun Yuan & Christopher K. Ober. (2021). Effect of monomer hydrophilicity on ARGET–ATRP kinetics in aqueous mini-emulsion polymerization. doi:10.1002/pol.20210658

Chenyun Yuan, Florian Kafer & Christopher K. Ober. (2021). Polymer-Grafted Nanoparticles (PGNs) with Adjustable Graft-Density and Interparticle Hydrogen Bonding Interaction. doi:10.1002/marc.202100629

Ban Xuan Dong, Ziwei Liu, Jonathan W. Onorato, Tengzhou Ma, Joseph Strzalka, Peter Bennington, Christine K. Luscombe, Christopher K. Ober, Paul F. Nealey & Shrayesh N. Patel. (2021). Ionic Dopant-Induced Ordering Enhances the Thermoelectric Properties of a Polythiophene-Based Block Copolymer. Advanced Functional Materials. doi:10.1002/adfm.202106991

Jingyuan Deng, Florian Kaefer, Sean Bailey, Yusuke Otsubo, Zoey Meng, Rachel Segalman & Christopher K Ober(2021). New Approaches to EUV Photoresists: Studies of Polyacetals and Polypeptoids to Expand the Photopolymer Toolbox. Journal of Photopolymer Science and Technology, 34(1), 71-74.

Michael Tsuei, Hai Tran, Sangchul Roh, Christopher K. Ober & Nicholas L. Abbott. (2021). Using Liquid Crystals to Probe the Organization of Helical Polypeptide Brushes Induced by Solvent Pretreatment. Macromolecules. 54(17), 7786-7795, doi:10.1021/acs.macromol.1c01125

Leonardi, Amanda, Aria C. Zhang, Nilay Duzen, Nick Aldred, John A. Finlay, Jessica L. Clarke, Anthony S. Clare, Rachel A. Segalman, and Christopher K. Ober. "Amphiphilic Nitroxide-Bearing Siloxane-Based Block Copolymer Coatings for Enhanced Marine Fouling Release." ACS Applied Materials & Interfaces 13.24 (2021): 28790-8801. doi:10.1021/acsami.1c05266

Huang, Yuming, Tran, Hai & Ober, Christopher K. (2021). High-Resolution Nanopatterning of Free-standing, Self-supported Helical Polypeptide Rod Brushes via Electron Beam Lithography. ACS Macro Letters, 10:755-759. doi:10.1021/acsmacrolett.1c00187


2020

Wang, Shaoyang, Easley, Alexandra D., Thakur, Ratul M., Ma, Ting, Yun, Junyeong, Zhang, Yiren, Ober, Christopher K., Lutkenhaus, Jodie L. (2020). Quantifying internal charge transfer and mixed ion-electron transfer in radical polymers. Chemical Science, 11(36), 9962-9970. doi:10.1039/d0sc03567j

Misra, Mayank, Liu, Ziwei, Dong, Ban Xuan, Patel, Shrayesh N., Nealey, Paul F., Ober, Christopher K., & Escobedo, Fernando A. (2020). Thermal Stability of pi-Conjugated n-Ethylene-Glycol-Terminated Quaterthiophene Oligomers: A Computational and Experimental Study. Acs Macro Letters, 9(3), 295-300. doi:10.1021/acsmacrolett.9b00935

Wu, Dung-Yi, Kafer, Florian, Diaco, Nicholas, & Ober, Christopher K. (2020). Silica-PMMA hairy nanoparticles prepared via phase transfer-assisted aqueous miniemulsion atom transfer radical polymerization. Journal of Polymer Science, 58(17), 2310-2316. doi:10.1002/pol.20200382

Richard G Jones, Christopher K Ober, Teruaki Hayakawa, Christine K Luscombe & Natalie Stingelin. Pure and Applied Chemistry, 92(11), 1861-1891.


2019

Christopher K. Ober, "Materials Systems for 2-Photon Lithography", in "Three-dimensional microfabrication using two-photon polymerization - 2nd ed.", Tomasso Baldachini, ed., Elsevier, 2019.


Liu, Ziwei; Dong, Ban Xuan; Misra, Mayank; Sun, Yangyang; Strzalka, Joseph; Patel, Shrayesh N.; Escobedo, Fernando A.; Nealey, Paul F.; Ober, Christopher K., "Self-Assembly Behavior of an Oligothiophene-Based Conjugated Liquid Crystal and Its Implication for Ionic Conductivity Characteristics", Advanced Functional Materials 29(2), 1805220 (2019).


Jen-Yu Huang, Hong Xu, Eliad Peretz, Dung-Yi Wu, Christopher Kemper Ober, Tobias Hanrath, "Three-dimensional printing of hierarchical porous architectures", Chem. Mater., 2019, 31, 24, 10017-10022.


Alicia Cintora, Hiroki Takano, Mohit Khurana, Alvin Chandra, Teruaki Hayakawa, Christopher K. Ober, "Block copolymers containing stable radical and fluorinated blocks with long-range ordered morphologies prepared by anionic polymerization", Polymer Chemistry, 2019, 10, 5094-5102, DOI: 10.1039/C9PY00416E.


Ban Dong, Paul Nealey, Shrayesh Patel, Ziwei Liu, Mayank Misra, Christopher Ober, Fernando Escobedo, Jens Niklas, Oleg Poluektov, Dr. Joseph Strzalka, "Structure Control of a pi-Conjugated Oligothiophene-Based Liquid Crystal for Enhanced Mixed Ion/Electron Transport Characteristics", ACS Nano, 2019 Jun 18


Mikayla E. Barry, Emily C. Davidson, Chengcheng Zhang, Anastasia L. Patterson, Beihang Yu, Amanda K. Leonardi, Nilay Duzen, Ketaki Malaviy, Jessica L. Clarke, John A. Finlay, Anthony S. Clare, Zhan Chen, Christopher K. Ober, Rachel A. Segalman*, "The Role of Hydrogen Bonding in Peptoid-Based Marine Antifouling Coatings", Macromolecules (2019) 52(3), 1287-1295.


Ved Gund, Alexander Ruyack, Amanda Leonardi, Kadayra Basavarajappa Vinayakumar, Christopher Ober and Amit Lal, "Spatially Controlled Transience of Graphene-Polymer Electronics with Silicon Singulation", Advanced Functional Materials, 29(20),1900592; DOI: 10.1002/adfm.20190059


Amanda K. Leonardi and Christopher K. Ober, "Polymer-Based Marine Antifouling and Fouling Release Surfaces: Strategies for Synthesis and Modification", Annual Review of Chemical and Biomolecular Engineering, 2019 10:1, 241-264.


Jing Jiang, Byungki Jung, Michael O. Thompson, Christopher K. Ober, "Chemical reaction and diffusion kinetics during laser-induced sub-millisecond heating for patterning applications", Journal of Vacuum Science & Technology B 37, 041601 (2019)


Wenbo Sheng, Ihsan Amin, Christof Neumann, Renhao Dong, Tao Zhang, Erik Wegener, Wei-Liang Chen, Paul Forster, Hai Quang Tran, Markus Loffler, Andreas Winter, Raul D. Rodriguez, Ehrenfried Zschech, Christopher K. Ober, Xinliang Feng, Andrey Turchanin, and Rainer Jordan, "Polymer Brushes on Hexagonal Boron Nitride", Small, 15(19), 2019 1805228


Hatton, Fiona L.; Park, Albert M.; Zhang, Yiren; Fuchs, Gregory D.; Ober, Christopher K.; Armes, Steven P., "Aqueous one-pot synthesis of epoxy-functional diblock copolymer worms from a single monomer: new anisotropic scaffolds for potential charge storage applications", Polymer Chemistry 2019, 10, 194-200.


Matthias Menzel, Wei-Liang Chen, Kimberly Simancas, Oswald Prucker, Christopher K. Ober, Jurgen Ruhe, "Entropic death of nonpatterned and nanopatterned polyelectrolyte brushes", J. Polym. Sci. Polym. Chem., 2019 57(12) 1283-1295.


Sakai, K; Jung, SH; Pan, WY; Giannelis, EP; Ober, CK, "Development of metal organic cluster EUV photoresists"", in Advances In Patterning Materials And Processes XXXVI, Gronheid, R; Sanders, DP, eds. Proceedings of SPIE, 2019, 10960, 1096015 DOI: 10.1117/12.2516133


Yang, K; Xu, H; Sakai, K; Kosma, V; Giannelis, EP; Ober, CK, "Radical sensitive Zinc-based nanoparticle EUV photoresists", in Advances In Patterning Materials And Processes XXXVI, Gronheid, R; Sanders, DP, eds. Proceedings of SPIE, 2019, 10960, 109601R, DOI: 10.1117/12.2514982


Sakai, K; Jung, SH; Pan, W; Giannelis, EP; Ober, CK, "Metal organic cluster photoresists: new metal oxide systems", in Advanced Etch Technology For Nanopatterning VIII, Wise, RS; Labelle, CB, eds. Proceedings of SPIE, 2019, 10963, 1096306, DOI: 10.1117/12.2515148


2018

Zhuangsheng Lin, Yiren Zhang, Christopher K. Ober, Julie M. Goddard, "Facile preparation of epoxide functionalized surfaces via photocurable copolymer coatings and subsequent immobilization of iminodiacetic acids", ACS Applied Materials and Interfaces, 2018, 10, 47, 40871-40879.


Jorge Gonzalez-Estrella, Jim A Field, Christopher K Ober, Reyes Sierra-Alvarez, "Stability and microbial toxicity of HfO2 and ZrO2 nanoparticles for photolithography", Green Materials, 2018, 1-9


Kazunori Sakai, Hong Xu, Vasiliki Kosma, Emmanuel P. Giannelis, Christopher K. Ober, "Progress in Metal Organic Cluster EUV Photoresists", JVSTB, 2018, 36, 06J504.


Hong Xu,Vasiliki Kosma, Kazunori Sakai, Emmanuel P. Giannelis, Christopher K. Ober, "EUV Photolithography: Resist Progress in Metal-Organic Complex Photoresists", Journal of Micro/Nanolithography, MEMS, and MOEMS, 2018, 18(1), 011007.


Newby, Carol; Piachaud, Tom; Vaynzof, Yana; Lee, Jin-Kyun; Jung, Seok-Heon; Sadhanala, Aditya; Ober, Christopher; Friend, Richard; "Electroluminescence from solution-processed pinhole-free nm-thickness layers of conjugated polymers", NanoLetters, 2018, 18, 5382-5388.


Hai Tran, Yiren Zhang, Christopher K. Ober, "Synthesis, processing and characterization of helical polypeptide rod-coil mixed brushes", ACS Macro Letters, 2018, 7:1186-1191.


Christopher K. Ober, Vasiliki Kosma, Hong Xu, Kazunori Sakai, and Emmanuel P. Giannelis, "The Challenges of Highly Sensitive EUV Photoresists", Journal of Photopolymer Science and Technology, 31(2) (2018) 261 -265.


Christopher K. Ober, Ziwei Liu, Roselynn Cordero, Alicia Cintora, Materials Overview for 2-Photon 3D Printing Applications, Journal of Photopolymer Science and Technology, 2018, 31(3) (2018) 425-429.


Gubala, Vladimir; Johnston, Linda J.; Liu, Ziwei; Krug, Harald; Moore, Colin J.; Ober, Christopher K.; Schwenk, Michael; Vert, Michel, "Engineered nanomaterials and human health: Part 1. Preparation, functionalization and characterization", Pure and Applied Chemistry (2018), 90(8), 1283-1324.


Gubala, Vladimir; Johnston, Linda J.; Krug, Harald F.; Moore, Colin J.; Ober, Christopher K.; Schwenk, Michael; Vert, Michel, "Engineered nanomaterials and human health: Part 2. Applications and nanotoxicology", Pure and Applied Chemistry (2018), 90(8), 1325-1356.


Chengjian Shi, Amanda Leonardi, Peter Ohlendorf, Yiren Zhang, Alex Ruyack, Amit Lal and Christopher K. Ober, "UV-triggered Transient Electrospun Poly(Propylene Carbonate)/Poly(Phthalaldehyde) Polymer Blend Fiber Mats", ACS Applied Materials & Interfaces, (2018), 10(34), 28928-28935.


Hong Xu, Kou Yang, Kazunori Sakai, Vasiliki Kosma, Kazuki Kasahara, Emmanuel P. Giannelis, Christopher K. Ober*, "EUV metal oxide hybrid photoresists: ultra-small structures for high-resolution patterning", Proc. SPIE 10583, EUV metal oxide hybrid photoresists: ultra-small structures for high-resolution patterning, 105831P (19 March 2018).


Christopher K. Ober*, Hong Xu, Vasiliki Kosma, Kazunori Sakai, Emmanuel P. Giannelis, "EUV Photolithography: Resist Progress and Challenges", Proc. SPIE 10583, EUV photolithography: resist progress and challenges, 1058306 (19 March 2018).


Yiren Zhang, Albert Park, Stephen R. McMillan, Nicholas J. Harmon, Michael E. Flatté, Gregory D. Fuchs, and Christopher K. Ober, "Charge Transport in Conjugated Polymers with Pendent Stable Radical Groups", Chem. Mater., 2018, 30 (14), pp 4799-4807.


Hong Xu, Kazunori Sakai, Kazuki Kasahara, Vasiliki Kosma, Kou Yang, Henry C. Herbol, Jeremy Odent, Paulette Clancy, Emmanuel P. Giannelis, Christopher K. Ober, "MOF-inspired metal-containing building units for high resolution patterning", Chem. Mater., 2018, 30 (12), 4124-4133. .


Roselynn Cordero, Ali Jawaid, Ming-Siao Hsiao, Zoë Lequeux, Richard A. Vaia, Christopher K. Ober, "Mini Monomer Encapsulated Emulsion Polymerization of PMMA in Aqueous ARGET ATRP", ACS Macro Letters, 7, 4, 459-463.


Wenjie Sun, Marie Krysak, Youngjin Cho, Christine Y. Ouyang, Reyes Sierra-Alvarez and Christopher K. Ober, "Evaluation of Lithographic Performance, Biodegradability, and Cytotoxicity of Natural Materials-Based, Perfluorooctane Sulfonate-Free Photoacid Generators", Green Materials, (2018) 5(4), 173-181.


Hong Xu, Vasiliki Kosma, Emmanuel P. Giannelis, Christopher K. Ober, "In pursuit of Moore’s Law: Polymer Chemistry in Action", Polymer J., (2018) 50, 45-55.


Han-Yuan Liu, Wei-Liang Chen, Christopher K. Ober and Susan Daniel, "Biologically Complete Planar Cell Plasma Membranes Supported on Polyelectrolyte Cushions Enhance Transmembrane Protein Mobility and Retain Native Orientation", Langmuir, 2018 34(3), 1061-1072.


Yiren Zhang, Albert Park, Alicia Cintora, Austin Moehle, Gregory D. Fuchs and Christopher K. Ober, Stephen R. McMillan, Nicholas J. Harmon, Michael E. Flatté, "Impact of the Synthesis Method on the Solid-State Charge Transport of Radical Polymers", JMC C, (2018), 6(1), 111-118.


2017

V. Kosma, H. Xu, K. Kasahara, C. K. Ober, E.P. Giannelis, "Elucidating the Patterning Mechanism of Zirconium based Hybrid-Photoresists", (2017) Journal of Micro-Nanolithography MEMS and MOEMS (JM3) 16(4), 041007

David Ditter, Wei-Liang Chen, Andreas Best, Hans Zappe, Koloian Koynov, Hans-Jorg Butt, Christopher Kemper Ober and Rudolf Zentel, "MEMS analogous micro-patterning of thermotropic nematic liquid crystalline elastomer films using a fluorinated photoresist and a hard mask process", JMC C, (2017), 5(47), 12635-12644.

Juyi Li, Myungwoong Kim, Dilip Gersappe, Christopher Ober, Miriam Rafaelovich, "Manipulation of Cell Adhesion and Dynamics Using RGD Functionalized Polymers", J. Mater. Chem. B: Materials for Biology and Medicine (2017), 5(31), 6307-6316.

Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K.; Giannelis, Emmanuel P. "Extreme ultraviolet resist materials for sub-7 nm patterning", Chemical Society Reviews (2017), 30(1), 93-98.

Peter Ohlendorf, Alexander Ruyack, Amanda Leonardi, Chengjian Shi, Christine Cuppoletti, Ian Bruce, Amit Lal and Christopher K. Ober, "Transient Fiber Mats of Electrospun Poly(propylene carbonate) Composites with Remarkable Mechanical Strength", ACS Applied Materials & Interfaces, (2017) 9(30), 25495-25505.

Kazuki Kasahara, Hong Xu, Vasiliki Kosma, Jeremy Odent, Emmanuel P. Giannelis, Christopher K. Ober, "Recent progress in EUV Metal Oxide Photoresists", Journal of Photopolymer Science and Technology, 30(1), 93-97, 201.

Jing Jiang, Brandon Wenning, Clemens Liedel, Alan Jacobs, Michael O. Thompson, Christopher K. Ober, "Self-assembled sub-10 nm structures by millisecond laser assisted annealing of PS-PDMS block copolymers", ACS Applied Materials& Interfaces, ASAP.

Ryuichi Nakatani, Hiroki Takano, Lei Wang, Alvin Chandra, Yasunari Yoshimura, Yoshinori Suzuki, Yuki Tanaka, Rina Maeda, Naoko Kihara, Shinya Minegishi, Ken Miyagi, Yuusuke Kasahara, Hironobu Sato, Yuriko Seino, Tsukasa Azuma, Hideaki Yokoyama, Christopher K. Ober, Teruaki Hayakawa,, "Perpendicular Orientation Control without Interfacial Treatment of RAFT Synthesized High-Chi Block Copolymer Thin Films with sub-10 nm Features Prepared via Thermal Annealing", ACS Applied Materials & Interfaces, ASAP.

Wei-Liang Chen, Matthias Menzel, Oswald Prucker, Endian Wang, Christopher K. Ober, Jurgen Ruhe, "Morphology of Nanostructured Polymer Brushes Dependent on Production and Treatment", Macromolecules, (2017), 50(12), 4715-4724.

David R. Calabrese, Brandon M. Wenning, Hilda Buss, John A. Finlay, Daniel Fischer, Anthony S. Clare, Rachel A. Segalman, and Christopher K. Ober, "Comparison of Hydrophobic and Hydrophilic Polymer Backbones with Pendent Oligopeptide Units for Antifouling and Fouling-Release Coatings", Green Materials, 2017, 5(1), 31-43.

Christopher Ober, "Fifty years of the Baier Curve: Progress in understanding fouling release coatings", Green Materials, 2017, 5(1), 1-3.

Wei-Liang Chen, Roselynn Cordero, Hai Tran, Christopher K. Ober, "50th Anniversary Perspective: Polymer Brushes: Novel Surfaces for Future Materials", Macromolecules, (2017), 50(11), 4089-4113.

Brandon M. Wenning, Elisa Martinelli, Sophie Mieszkin, John A. Finlay, Daniel Fischer, James A. Callow, Maureen E. Callow, Christopher K. Ober, Giancarlo Galli, "Amphiphilic Block Copolymers with Tailored Molecular Weight and Composition in PDMS-Based Films to Control Marine Biofouling", ACS Applied Materials & Interfaces, (2017), 9(19), 16505-16516.

Kazuki Kasahara; Hong Xu ; Vasiliki Kosma; Jeremy Odent; Emmanuel P. Giannelis; Christopher K. Ober, "Nanoparticle photoresist studies for EUV lithography", Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, 1014308 (March 24, 2017);

Christopher K. Ober, "Perspective: A dress code for directing self-assembly", Nature Nanotechnology, (2017), 12(6), 507-508.

Wei-Liang Chen, Matthias Menzel, Tsukasa Watanabe, Oswald Prucker, Jurgen Ruhe, Christopher Ober, "Reduced confinement and its effect on stability in strong polyelectrolyte brushes", Langmuir, (2017), 33(13), 3296-3303.

Anastasia L. Patterson, Brandon Wenning, Georgios Rizis, David R. Calabrese, John Finlay, Sofia C. Franco, Ronald N. Zuckermann, Anthony S. Clare, Edward J. Kramer, Christopher K. Ober, Rachel A. Segalman, "Role of backbone chemistry and monomer sequence in amphiphilic oligopeptide- and oligopeptoid-functionalized PDMS- and PEO-based block copolymers for marine antifouling and fouling release coatings", Macromolecules, (2017), 50(7), 2656-2667.


2016

Jacobs, Alan G.; Liedel, Clemens; Peng, Hui; et al., "Kinetics of Block Copolymer Phase Segregation during Sub-millisecond Transient Thermal Annealing", Macromolecules 2016, 49(17), 6462-6470

Katherine L. Camera, Brandon Wenning, Amit Lal, Christopher K. Ober, "Transient Materials from Thermally-Sensitive Polycarbonates and Polycarbonate Nanocomposites", Polymer, 2016, 101, 59-66

C. Liedel, C.K. Ober, "Nanopatterning of Stable Radical Containing Block Copolymers for Highly Ordered Functional Nanomeshes", Macromolecules, 2016 49(16) 5884-5892

Yu, Mufei; Xu, Hong; Kosma, Vasiliki; et al., "Positive Tone Nanoparticle Photoresists: New Insight on the Patterning Mechanism", Journal Of Photopolymer Science And Technology 2016, 29(3) 509-512

Nakatani, Ryuichi; Takano, Hiroki; Wang, Lei; et al., "Precise Synthesis of Fluorine-containing Block Copolymers via RAFT", Journal of Photopolymer Science and Technology, 2016, 29(5) 705-708.

Yu, Mufei; Giannelis, Emmanuel P.; Ober, Christopher K. "Positive Tone Oxide Nanoparticle EUV (ONE) Photoresists", Hohle, CK; Younkin, TR; eds. SPIE Advances In Patterning Materials and Processes XXXIII, Proceedings of SPIE 2016, 9779, 977905.

Martinelli, Elisa; Gunes, Deniz; Wenning, Brandon M.; Ober, Christopher K.; Finlay, John A.; Callow, Maureen E.; Callow, James A.; Di Fino, Alessio; Clare, Anthony S.; Galli, Giancarlo, "Effects of surface-active block copolymers with oxyethylene and fluoroalkyl side chains on the antifouling performance of silicone-based films", Biofouling (2016), 32(1), 81-93.

Giammaria, Tommaso Jacopo; Ferrarese Lupi, Federico; Seguini, Gabriele; Perego, Michele; Vita, Francesco; Francescangeli, Oriano; Wenning, Brandon; Ober, Christopher K.; Sparnacci, Katia; Antonioli, Diego; Gianotti, Valentina; Laus, Michele, "Micrometer-Scale Ordering of Silicon-Containing Block Copolymer Thin Films via High-Temperature Thermal Treatments", ACS Applied Materials & Interfaces (2016), 8(15), 9897-9908.

Maeda, Rina; Higuchi, Takeshi; Okuhara, Kenta; Kikuchi, Ryohei; Takahara, Atsushi; Ober, Christopher K.; Jinnai, Hiroshi; Hayakawa, Teruaki, "Interface manipulated two-phase nanostructure in a triblock terpolymer with a short middle segment", Polymer Journal (Tokyo, Japan) 2016, 48(4), 533-538.

Li, Li; Chakrabarty, Souvik; Jiang, Jing; Zhang, Ben; Ober, Christopher; Giannelis, Emmanuel P. "Solubility studies of inorganic-organic hybrid nanoparticle photoresists with different surface functional groups", Nanoscale (2016), 8(3), 1338-1343.

Pester, Christian W.; Poelma, Justin E.; Narupai, Benjaporn; Patel, Shrayesh N.; Su, Gregory M.; Mates, Thomas E.; Luo, Yingdong; Ober, Christopher K.; Hawker, Craig J.; Kramer, Edward J. "Ambiguous anti-fouling surfaces: Facile synthesis by light-mediated radical polymerization", Journal of Polymer Science, Part A: Polymer Chemistry (2016), 54(2), 253-262


2015

Takano, Hiroki; Wang, Lei; Tanaka, Yuki; Maeda, Rina; Kihara, Naoko; Seino, Yuriko; Sato, Hironobu; Kawamonzen, Yoshiaki; Miyagi, Ken; Minegishi, Shinya; Ober, Christopher K.; Hayakawa, Teruaki, "Vertical oriented lamellar formation of fluorine- and silicon-containing block copolymers without neutral layers", Journal of Photopolymer Science and Technology (2015), 28(5), 649-652.

Jiang, Jing; Jacobs, Alan; Thompson, Michael O.; Ober, Christopher K. "Laser spike annealing of DSA photoresists", Journal of Photopolymer Science and Technology (2015), 28(5), 631-634.

Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Chun, Jun Sung; Giannelis, Emmanuel P.; Ober, Christopher K. "Oxide nanoparticle EUV (ONE) photoresists: current understanding of the unusual patterning mechanism", Journal of Photopolymer Science and Technology (2015), 28(4), 515-518.

Katherine L. Camera, Jaritza Gómez-Zayas, M. D. Ediger, Christopher K. Ober, "Photopatterning of Indomethacin Thin Films: An Environmentally Friendly Photoresist", ACS Applied Materials & Interfaces (2015), 7(42), 23398-23401.

Wang, Weina; Xu, Yisheng; Li, Ang; Li, Tao; Liu, Miaomiao; von Klitzing, Regine; Ober, Christopher K.; Kayitmazer, A. Basak; Li, Li; Guo, Xuhong, "Zinc induced polyelectrolyte coacervate bioadhesive and its transition to a self-healing hydrogel", RSC Advances (2015), 5(82), 66871-66878.

Clemens Liedel, Austin Moehle, Gregory D. Fuchs, Christopher K. Ober, " Block copolymers with stable radical and fluorinated groups by ATRP", MRS Communications, (2015), 5(3), 441-446.

Li, Li; Chakrabarty, Souvik; Spyrou, Konstantinos; Ober, Christopher; Giannelis, Emmanuel, "Studying the Mechanism of Hybrid Nanoparticle Photoresists: Effect of Particle Size on Photopatterning", Chem. Mater., (2015), 27(14), 5027-5031.

David Calabrese, Brandon Wenning and Christopher K. Ober, " Block Copolymers as Anti-fouling and Fouling Resistant Coatings", in Anionic Polymerization, eds. Prof. Akira Hirao & Prof. Nikolaos Hadjichristidis, Springer Japan, Tokyo (2015)

Calabrese, D. R., Wenning, B., Finlay, J. A., Callow, M. E., Callow, J. A., Fischer, D., and Ober, C. K. "Amphiphilic Oligopeptides Grafted to PDMS-Based Diblock Copolymers for Use in Antifouling and Fouling Release Coatings: ANTIFOULING AMPHIPHILIC OLIGOPEPTIDES GRAFTED BLOCK COPOLYMERS" Polymers for Advanced Technologies 26, no. 7 (2015): 829-836.

David R. Calabrese, David Ditter, Clemens Liedel, Amit Blumfield, Christopher K. Ober, "Design, synthesis and use of new Y-shaped ATRP/NMP surface tethered initiator", ACS Macro Letters, (2015), 4(6), 606-610.

Michelle A. Chavis, Detlef-M. Smilgies, Ulrich B. Wiesner and Christopher K. Ober, "Widely Tunable Morphologies in Block Copolymer Thin Films Through Solvent Vapor Annealing Using Mixtures of Selective Solvents", Adv. Func. Mater., (2015), 25(20), 3057-3065.

Yisheng Xu, Lin Chen, Yushi (Russell) Zhao, Lawrence M. Cathles, Christopher K. Ober, "Supercritical CO2-philic Nanoparticles Suitable for Determining the Viability of Carbon Sequestration in Shale", Environmental Science: Nano, 2015, 2, 288 - 296.

M. Elizabeth Welch, Thomas Doublet, Christophe Bernard, George Malliaras, and Christopher K. Ober, "Stable immobilization of an enzyme on an organic transistor via a polymer brush", J. Polym. Sci., Part A - Polym. Chem. (2015) 53(2), 372-377.


2014

Jung, B; Ober, CK; Thompson, MO, "Controlled roughness reduction of patterned resist polymers using laser-induced sub-millisecond heating", Journal of Materials Chemistry C, 2014, 2(43), 9115-9121


Wieberger, Florian; Kolb, Tristan; Neuber, Christian; Ober, Christopher K.; Schmidt, Hans-Werner, "Nanopatterning with tailored molecules", Proceedings of SPIE (2014), 9051(Advances in Patterning Materials and Processes XXXI), 90510G/1-90510G/11.


Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; et al., "Metal Oxide Nanoparticle Photoresists for EUV Patterning", Journal Of Photopolymer Science And Technology 27(5), 663-666 2014


Jiang, Jing; Thompson, Michael O.; Ober, Christopher K., "Line width roughness reduction by rational design of photoacid generator for sub-millisecond laser post-exposure bake", Advances in Patterning Materials and Processes XXXI, Proceedings of SPIE 9051, 90510H 2014


Jacobs, Alan G.; Jung, Byungki; Ober, Christopher K.; et al. "Control of PS-b-PMMA directed self-assembly registration by laser induced millisecond thermal annealing", Alternative Lithographic Technologies VI Book Series: Proceedings of SPIE 9049, 90492B 2014.


Chakrabarty, S., Sarma, C., Li, L., Giannelis, E. P., & Ober, C. K. (2014). "Increasing sensitivity of oxide nanoparticle photoresists", (EUV) Lithography V Book Series: Proceedings of SPIE (Vol. 9048, p. 90481C-90481C-5).


Padmanabhan, P.; Chavis, M.; Ober, C. K.; Escobedo, F. A., "Phase behaviour of PMMA-b-PHEMA with solvents methanol and THF: modelling and comparison to the experiment", Soft Matter (2014), 10(33), 6172-6181.


Okamura, Haruyuki; Forman, Drew C.; Ober, Christopher K., "C60-containing polymers for electron beam lithography", Polymer Bulletin (Heidelberg, Germany) (2014), 71(9), 2395-2405


Jung, Byungki; Satish, Pratima; Bunck, David N.; Dichtel, William R.; Ober, Christopher K.; Thompson, Michael O., "Laser-Induced Sub-millisecond Heating Reveals Distinct Tertiary Ester Cleavage Reaction Pathways in a Photolithographic Resist Polymer", ACS Nano (2014), Ahead of Print.  


Rahaman, Saifur; Thérien-Aubin, Héloïse; Ben-Sasson, Moshe; Ober, Christopher; Nielsen, Melissa; Elimelech, Menachem, "Control of biofouling on reverse osmosis polyamide membranes modified with biocidal nanoparticles and antifouling polymer brushes", Journal of Materials Chemistry B: Materials for Biology and Medicine (2014), 2(12), 1724-1732.


Ferrarese Lupi, F.; Giammaria, T. J.; Seguini, G.; et al., "Thermally induced orientational flipping of cylindrical phase diblock copolymers", Journal Of Materials Chemistry C, 2(12), 2175-2182 (2014)


M. Elizabeth Welch, Nicole L. Ritzert, Hongjun Chen, Norah L. Smith, Michele E. Tague, Youyong Xu, Barbara A. Baird, Héctor D. Abruña, and Christopher K. Ober, "A Generalized Platform for Antibody Detection using the Antibody Catalyzed Water Oxidation Pathway", J. Am. Chem. Soc., 2014, 136 (5), pp 1879-1883. 


Zhou, Zhaoli; Calabrese, David R.; Taylor, Warren; Finlay, John A.; Callow, Maureen E.; Callow, James A.; Fischer, Daniel; Kramer, Edward J.; Ober, Christopher K., "Amphiphilic triblock copolymers with PEGylated hydrocarbon structures as environmentally friendly marine antifouling and fouling-release coatings", Biofouling (2014), 30(5), 589-604.


2013

Jiang, Jing; Jung, Byungki; Thompson, Michael O.; Ober, Christopher K., "Line edge roughness of high deprotection activation energy photoresist by using sub-millisecond post exposure bake", Proceedings of SPIE (2013), 8682(Advances in Resist Materials and Processing Technology XXX), 86821N/1-86821N/7


Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyong; Giannelis, Emmanuel P.; Ober, Christopher K., "Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning", Proceedings of SPIE (2013), 8679(Pt. 1, Extreme Ultraviolet (EUV) Lithography IV), 867906/1-867906/8.


Ouyang, Christine Y.; Chung, Yeon Sook; Li, Li; Neisser, Mark; Cho, Kyoungyong; Giannelis, Emmanuel P.; Ober, Christopher K., "Non-aqueous negative-tone development of inorganic metal oxide nanoparticle photoresists for next generation lithography",  Proceedings of SPIE (2013), 8682(Advances in Resist Materials and Processing Technology XXX), 86820R/1-86820R/6.


Kryask, Marie; Trikeriotis, Markos; Ouyang, Christine; Chakrabarty, Souvik; Giannelis, Emmanuel P.; Ober, Christopher K., Journal of Photopolymer Science and Technology (2013), 26(5), 659-664.


Lin Chen, Héloïse Thérien-Aubin, Mavis C.Y. Wong, Eric M.V. Hoek, Christopher K. Ober, "Improved Antifouling Properties of Polymer Membranes Using 'Layer-by-layer' Mediated Method", Journal of Materials Chemistry B: Materials for Biology and Medicine (2013), 1(41), 5651-5658.


M. Elizabeth Welch, C. K. Ober, "Responsive and patterned polymer brushes", J. Polym. Sci.: Physics, (2013) 51(20), 1457-1472.


Carol Newby, Jin-Kyun Lee and Christopher K. Ober, "Inkjet Printing of Fluorinated Materials and their Application to Patterning Organic Semiconductors", Journal of Materials Chemistry C: Materials for Optical and Electronic Devices (2013), 1(36), 5647-5653.


Christian Ohm and Christopher K. Ober, "From surface coatings to polymer nanoparticles: lifting off polymer brushes", RSC Advances, (2013), 3(40), 18482-18488.


Christopher K. Ober, "Initiatives in Global Chemical Education — The continuing celebration of IYC 2011: What the IUPAC Polymer Division is doing to keep things going", J. Chem. Ed., (2013), 90(11), 1559-1560.


Wieberger, Florian; Kolb, Tristan; Neuber, Christian; Ober, Christopher K.; Schmidt, Hans-Werner, "Combinatorial techniques to efficiently investigate and optimize organic thin film processing and properties", Molecules (2013), 18, 4120-4139.


Wan, Alwin M. D.; Chandler, Emily M.; Madhavan, Maya; Infanger, David W.; Ober, Christopher K.; Gourdon, Delphine; Malliaras, George G.; Fischbach, Claudia, "Fibronectin conformation regulates the proangiogenic capability of tumor-associated adipogenic stromal cells", Biochimica et Biophysica Acta, General Subjects (2013), 1830(9), 4314-4320.


Newby, Carol; Lee, Jin-Kyun; Ober, Christopher K., "The solvent problem: Redissolution of macromolecules in solution-processed organic electronics", Macromolecular Research (2013), 21(3), 248-256. 


Jones, Richard G.; Ober, Christopher K.; Hodge, Philip; Kratochvil, Pavel; Moad, Graeme; Vert, Michel; IUPAC Commission, "Terminology for aggregation and self-assembly in polymer science (IUPAC Recommendations 2013)", Pure and Applied Chemistry (2013), 85(2), 463-492.


Midthun, Kari; Taylor, Priscilla; Newby, Carol; Chatzichristidi, Margarita; Petrou, Panagiota; Lee, Jin-Kyun; Kakabakos, Sotirios; Baird, Barbara; Ober, Christopher, "Orthogonal Patterning of Multiple Biomolecules Using an Organic Fluorinated Resist and Imprint Lithography", Biomacromolecules, (2013), 14(4), 993-1002.


Florian Wieberger, Christian Neuber, Christopher K. Ober, and Hans-Werner Schmidt, "Tailored Star Block Copolymer Architecture for High Performance Chemically Amplified Resists", Advanced Materials, 2013, 24(44) 5939-.


M. E. Welch, C.K. Ober, "Characterization of Polymer Brush Membranes via HF Etch Liftoff Technique", ACS Macro Letters, 2013 2(3) 241-245.


Yosuke Hoshi, Youyong Xu, Christopher K. Ober, "Photo-cleavable, anti-fouling polymer brushes: a simple and versatile platform for multicomponent protein patterning", Polymer, 2013, 54(7), 1762-1767.


Sun, Wenjie; Gamez, Victor M.; Otero-Gonzalez, Lila,  Cho, Youngjin, Christopher K Ober, Reyes Sierra-Alvarez, "Biodegradability, Cytotoxicity, and Physicochemical Treatability of Two Novel Perfluorooctane Sulfonate-Free Photoacid Generators", Archives of Environmental Contamination and Toxicology, 64(2), 187-197, 2013


Congdon, Robert B.; Feldberg, Alexander S.; Ben-Yakar, Natalie; et al., "Early detection of Candida albicans biofilms at porous electrodes", Analytical Biochemistry  433(2),  192-201, 2013


Z. Zhou, P. Yu, H. M. Geller and C. K. Ober, "Patterned polymer brush containing tethered acetylcholine analogues to guide hippocampal neuronal adhesion and neurite growth", Biomacromolecules, 14(2), 529-537, 2013.


2012

Kolb, Tristan; Neuber, Christian; Krysak, Marie; Ober, Christopher K.; Schmidt, Hans-Werner, "Multicomponent Physical Vapor Deposited Films with Homogeneous Molecular Material Distribution Featuring Improved Resist Sensitivity", Advanced Functional Materials (2012), 22(18), 3865-3873.


Ohm, C.; Welch, M. E.; Ober, C. K., "Materials for Biosurfaces", Journal of Materials Chemistry (2012), 22(37), 19343-19347


Welch, M. Elizabeth; Xu, Youyong; Chen, Hongjun; Smith, Norah; Tague, Michele E.; Abruna, Hector D.; Baird, Barbara; Ober, Christopher K., "Polymer brushes as functional, patterned surfaces for nanobiotechnology", Journal of Photopolymer Science and Technology (2012), 25(1), 53.


Rina Maeda, Michelle Chavis, Nam-Ho You, Christopher K. Ober, "Top-down meets bottom up: block copolymers with photoreactive segments", Journal of Photopolymer Science and Technology 25(1), 17, 2012.


Markos Trikeriotis, Marie Krysak, Yeon Sook Chung, Christine Ouyang, Brian Cardineau, Robert Brainard, Christopher K. Ober, Emmanuel P. Giannelis, Kyoungyong Cho, "Nanoparticle photoresists from HfO2 and ZrO2 for EUV patterning", Journal of Photopolymer Science and Technology, 25(5), 583, 2012.


Gilda Shayan, Nelson Felix, Youngjin Cho, Margarita Chatzichristidi, Michael L. Shuler, Christopher K. Ober and Kelvin H. Lee, "Synthesis and Characterization of High-Throughput Nanofabricated Poly(4-Hydroxy Styrene) Membranes for In Vitro Models of Barrier Tissue", Tissue Engineering: Part C: Methods (2012), 18(9), 667-676.


Youngjin Cho, Harihara S. Sundaram,  John A. Finlay, Michael D. Dimitriou,  Maureen E. Callow, James A. Callow, Edward J. Kramer and Christopher K. Ober, "Reconstruction of Surfaces from Mixed Hydrocarbon and PEG Components in Water: Responsive Surfaces Aid Fouling Release", Biomacromolecules, (2012), 13(6), 1864-1874.


Jung, Byungki; Sha, Jing; Paredes, Florencia; Chandhok, Manish; Younkin, Todd; Wiesner, Ulrich; Ober, Christopher; Thompson, Michael, "Kinetic Rates of Thermal Transformations and Diffusion in Polymer Systems Measured during Sub-Millisecond Laser-Induced Heating", ACS Nano, (2012), 6(7), 5830-5836.


Prabhu Vivek M.; Kang Shuhui; Sha Jing; et al., "Neutron Reflectivity Characterization of the Photoacid Reaction-Diffusion Latent and Developed Images of Molecular Resists for Extreme Ultraviolet Lithography", Langmuir, 2012, 28(20), 7665-7678


Cho, Youngjin; Cho, Daehwan; Park, Jay Hoon; Frey, Margaret W.; Ober, Christopher K.; Joo, Yong Lak, "Preparation and characterization of amphiphilic triblock terpolymer-based nanofibers as antifouling biomaterials", Biomacromolecules, 2012 13(5), 1606-1614.


Alwin Wan, Rebecca Schur, Christopher K. Ober, Claudia Fischbach, Delpine Gourdon and G. G. Malliaras, "Electrical control of protein conformation", Advanced Materials, (2012), 24(18), 2501-2505.


Rina Maeda, Michelle Chavis, Nam-Ho You, and Christopher K. Ober, "Synthesis and characterization of self-assembling block copolymers containing fluorine groups", Proc. SPIE 8323, 83230E (2012)


Chavis, Michelle A.; You, Nam-Ho; Maeda, Rina; Welch, Mary E.; Ohm, Christian; Ober, Christopher K., "Cleavable self-organized thin films: block copolymers and brushes",  Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) 2012, 53(1), 283


Ober, Christopher K., "The challenge of nanotechnology: making patterns on the size scale of macromolecules", PMSE Preprints (2012), No pp. given.


Welch, Mary E.; Ohm, Christian; Xu, Youyong; Deffner, Bernd; Hessberger, Tristan; Lauck, Maximilian; Ober, Christopher K., "Selected methods of patterning polymer brushes", PMSE Preprints (2012), No pp. given.


Newby, Carol; Lee, Jin-Kyun; Taylor, Priscilla G.; Zahkidov, Alexander A.; Fong, Hon Hang; Midthun, Karl M.; Charzichristidi, Margarita; Malliaras, George G.; Baird, Barbara A.; Ober, Christopher K., "Orthogonal solution", PMSE Preprints (2012), No pp. given.


Rina Maeda, Teruaki Hayakawa, Christopher K. Ober, "Dual Mode Patternning of Fluorine-containing Block Copolymers through Combined Top-down and Bottom-up Lithography", Chem. Mater., (2012), 24(8), 1454.


Michael C. Gwinner, Thomas J. K. Brenner, Jin-Kyun Lee, Carol Newby, Christopher K. Ober, Christopher R. McNeill and Henning Sirringhaus, "Organic field-effect transistors and solar cells using novel high electron-affinity conjugated copolymers based on alkylbenzotriazole and benzothiadiazole", J. Mater. Chem., (2012), 22(10), 4436.


Christine Y. Ouyang, Jin-Kyun Lee, Marie E. Krysak, Jing Sha and Christopher K. Ober, "Environmentally Friendly Patterning of Thin Films in Linear Methyl Siloxanes", J. Mat. Chem., 2012, 22(12), 5746-5750.


Michael D. Dimitriou, Harihara S. Sundaram, Youngjin Cho, Marvin Y. Paik, Masakazu Kondo, Kristin Schmidt, Daniel A. Fischer, Christopher K. Ober, and Edward J. Kramer, "Amphiphilic Block Copolymer Surface Composition: Effects of Spin Coating versus Spray Coating", Polymer, 53(6), 1321-1327 (2012).


Zhaoli Zhou, Panpan Yu, Herbert M. Geller, Christopher K. Ober, "The role of hydrogels with tethered acetylcholine functionality on the adhesion and viability of hippocampal neurons and glial cells", Biomaterials, 2012, 33(8), 2473-2481.


Florian Wieberger, Drew C. Forman, Christian Neuber, André H. Groschel, Marietta Bohm, Axel H. E. Muller, Hans-Werner Schmidt and Christopher K. Ober, "Tailored Star-Shaped Statistical Teroligomers via ATRP for Lithographic Applications", J. Mat. Chem., 22(1), 73-79, 2012.


2011

Wolfgang-Andreas C. Bauer, Christian Neuber, Christopher K. Ober, and Hans-Werner Schmidt, "Combinatorial Optimization of a Molecular Glass Photoresist System for Electron Beam Lithography", Adv. Mater., 2011, 23(45), 5405-5408.


S. Y. Yang, B. N. Kim, A. A. Zakhidov, P. G. Taylor, J.-K. Lee, C. K. Ober, M. Lindau, G. G. Malliaras, "Detection of Transmitter Release from Single Living Cells Using Conducting Polymer Microelectrodes", Adv. Mat., 23(24), H184-H188 (2011)


Zakhidov, A. A.; Fong, H. H.; DeFranco, J. A.; Lee, J.-K.; Taylor, P. G.; Ober, C. K.; Malliaras, G. G.; He, M.; Kane, M. G., "Fabrication of polymer-based electronic circuits using photolithography", Applied Physics Letters (2011), 99(18), 183308/1-183308/3.


Martinelli, Elisa; Galli, Giancarlo; Krishnan, Sitaraman; Paik, Marvin Y.; Ober, Christopher K.; Fischer, Daniel A., "New poly(dimethylsiloxane)/poly(perfluorooctylethyl acrylate) block copolymers: structure and order across multiple length scales in thin films",  Journal of Materials Chemistry, 21(39), 15357-15368 (2011).


Chen, Lin; Therien-Aubin, Heloise; Wong, Mavis; Hoek, Eric M. V.; Ober, Christopher K., "Modification of reverse osmosis membranes with antifouling polymer brushes using the layer by layer method", Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2011), 52(2), 1070-1071.


Therien-Aubin, Heloise; Chen, Lin; Ober, Christopher K., "Fouling resistant coatings for reverse osmosis membranes by Click grafting of polymers", Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2011), 52(2), 1067-1068.


Lee, Jin-Kyun; Gwinner, Michael C.; Friend, Richard H.; Sirringhaus, Henning; Ober, Christopher K.," Novel Synthesis of High-performance Electron-transporting Polymers Employing a Heteroaryl Bis(trifluoroborate)", Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2011), 52(2), 978-979.


Sundaram, Harihara S.; Cho, Youngjin; Zhou, Zhaoli; Yoo, Hee-Soo; Dimitriou, Michael D.; Finlay, John A.; Callow, Maureen E.; Callow, James A.; Kramer, Edward J.; Ober, Christopher K.. "Effect of amphiphilic structures on antifouling and fouling release properties of PS-B-P(E/B)-B-PI based triblock copolymer", Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2011), 52(2), 1034-1035.


Welch, Mary E.; Xu, Youyong; Ober, Christopher K., "Patterning of polymer brushes", PMSE Preprints (2011), No pp. given.


DeFranco, John; Zakhidov, Alex; Lee, Jin-Kyun; Taylor, Priscilla; Fong, Hon Hang; Chatzichristidi, Margarita; Hwang, Ha Soo; Ober, Christopher; Malliaras, George, "Photolithographic patterning of organic electronic materials", Edited by Samori, Paolo; Cacialli, Franco in Functional Supramolecular Architectures (2011), 1, 399-419.


Dimitriou, Michael D.; Zhou, Zhaoli K.; Yoo, Hee-Soo; Killops, Kato L.; Finlay, John A.; Cone, Gemma; Sundaram, Harihara S.; Lynd, Nathaniel A.; Barteau, Katherine P.; Campos, Luis M.; et al., "A general approach to controlling the surface composition of poly(ethylene oxide)-based block copolymers for antifouling coatings", Langmuir (2011), 27(22), 13762.


Prabhu, Vivek M.; Kang, Shuhui; Kline, R. Joseph; DeLongchamp, Dean M.; Fischer, Daniel A.; Wu, Wen-li; Satija, Sushil K.; Bonnesen, Peter V.; Sha, Jing; Ober, Christopher K. "Characterization of the Non-uniform Reaction in Chemically Amplified Calix[4]resorcinarene Molecular Resist Thin Films", Australian Journal of Chemistry (2011), 64(8), 1065-1073


Harihara S. Sundaram, Youngjin Cho, Michael D. Dimitriou, Craig J. Weinman, John A. Finlay, Gemma Clay, Maureen E. Callow, James A. Callow, Edward J. Kramer, Christopher K. Ober, "Fluorine-Free Mixed Amphiphilic Polymers Based on PDMS and PEG Side Chains for Fouling Release Applications", Biofouling, 27(7), 6, 589-601 (2011).


Chavis, Michelle A.; Schwartz, Evan L.; Ober, Christopher K., "Block copolymer nanostructured thin films for advanced patterning", Hadjichristidis, Nikos; Hirao, Akira; Tezuka, Yasuyuki; Du Pres, Filip ed., Complex Macromolecular Architectures: Synthesis, Characterization, and Self-Assembly, Wiley (2011), 763-790.


Thérien-Aubin, Héloise; Chen, Lin; Ober, Christopher K., "Fouling-Resistant Polymer Brush Coatings", Polymer, 2011, 52(24), 5419-5425.


Xu, You-Yong; Hoshi, Yosuke; Ober, Christopher K., "Photo-switchable polyelectrolyte brush for dual protein patterning", Journal of Materials Chemistry (2011), 21(36), 13789-13792.


Jung, Byungki; Chandhok, Manish; Younkin, Todd R.; Ober, Christopher K.; Thompson, Michael O., "Time dependent behavior of chemically amplified resist characterized under sub-millisecond post exposure bake", Journal of Photopolymer Science and Technology (2011), 24(5), 487-490.


Ouyang, Christine Y.; Lee, Jin-Kyun; Ober, Christopher K., "Studies of environmentally friendly solvent-based developers", Journal of Photopolymer Science and Technology (2011), 24(2), 239-240.


Harihara S. Sundaram, Youngjin Cho, Michael D. Dimitriou, John A. Finlay, Gemma Cone, Sam Williams, Dale Handlin, Joseph Gatto, Maureen E. Callow, James A. Callow, Edward J. Kramer, Christopher K. Ober, "Fluorinated Amphiphilic Polymers and Their Blends for Fouling-Release Applications: The Benefits of a Triblock Copolymer Surface", App. Mat & Int., (2011), 3(9), 3366-3374.


Nikolaos Politakos, Craig J. Weinman, Marvin Y. Paik, Harihara S. Sundaram, Christopher K. Ober and Apostolos Avgeropoulos, "Synthesis, molecular, and morphological characterization of initial and modified diblock copolymers with organic acid chloride derivatives", J. Polym. Sci.: Chem., Article first published online: 22 JUL 2011 | DOI: 10.1002/pola.24873

 

Jin-Kyun Lee, Michael C. Gwinner, Reinhard Berger, Carol Newby, Rudolf Zentel, Richard H. Friend, Henning Sirringhaus, Christopher K. Ober, "High-Performance Electron-Transporting Polymers Derived from a Heteroaryl Bis(trifluoroborate)", JACS, 133(26), 9949-9951 (2011).


Youngjin Cho, Harihara S. Sundaram, Craig J. Weinman, Marvin Y. Paik, Michael D. Dimitriou, John A. Finlay, Maureen E. Callow, James A. Callow, Edward J. Kramer, Christopher K. Ober, "Triblock Copolymers with Grafted Fluorine-Free, Amphiphilic, Non-Ionic Side Chains for Antifouling and Fouling-Release Applications", Macromolecules, 44(12), 4783-4792 (2011)


Cho, Daehwan; Bae, Woo Jin; Joo, Yong; Ober, Christopher; Frey, Margaret, "Properties of PVA/HfO2 Hybrid Electrospun Fibers and Calcined Inorganic HfO2 Fibers", Journal of Physical Chemistry, 115(13), 5535-5544 (2011).


Ethan N. Chiang, Rong Dong, Christopher K. Ober, Barbara A. Baird, "Cellular Responses to Patterned (Poly)Acrylic Acid Brushes", Langmuir, 2011, 27(11), 7016-7023.


A. A. Zakhidov, J.-K. Lee, J. A. DeFranco, H. H. Fong, P. G. Taylor, M. Chatzichristidi, C. K. Ober and G. G. Malliaras, "Orthogonal Processing: A New Strategy for Organic Electronics", Chemical Science, 2011, 2 (6), 1178 - 1182.


Ouyang, Christine Y.; Lee, Jin-Kyun; Krysak, Marie; Ober, Christopher K., "Patterning conventional photoresists in environmentally friendly silicone fluids", Proceedings of SPIE (2011), 7972(Pt. 1, Advances in Resist Materials and Processing Technology XXVIII), 79720O/1-79720O/6.


Cho, Youngjin; Ouyang, Christine Y.; Krysak, Marie; Sun, Wenjie; Gamez, Victor; Sierra-Alvarez, Reyes; Ober, Christopher K., "Environmentally friendly natural materials-based photoacid generators for next-generation photolithography",  Proceedings of SPIE (2011), 7972(Pt. 2, Advances in Resist Materials and Processing Technology XXVIII), 79722A/1-79722A/11.


Krysak, Marie; Trikeriotis, Markos; Schwartz, Evan; Lafferty, Neal; Xie, Peng; Smith, Bruce; Zimmerman, Paul; Montgomery, Warren; Giannelis, Emmanuel; Ober, Christopher K., "Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193 nm lithography", Proceedings of SPIE (2011), 7972(Pt. 1, Advances in Resist Materials and Processing Technology XXVIII), 79721C/1-79721C/6.


Ober, Christopher K.; Ouyang, Christine; Lee, Jin-Kyun; Krysak, Marie, "Solvent development processing of chemically amplified resists: chemistry, physics, and polymer science considerations", Proceedings of SPIE (2011), 7972(Pt. 1, Advances in Resist Materials and Processing Technology XXVIII), 797205/1-797205/8.


Jung, Byungki; Ober, Christopher K.; Thompson, Michael O.; Chandhok, Manish, "LWR reduction and flow of chemically amplified resist patterns during sub-millisecond heating", Proceedings of SPIE (2011), 7972(Pt. 2, Advances in Resist Materials and Processing Technology XXVIII), 79722S/1-79722S/8.


Jung, Byung-Ki; Ober, Christopher K.; Thompson, Michael O.; Younkin, Todd R.; Chandhok, Manis, "Addressing challenges in lithography using sub-millisecond post exposure bake of chemically amplified resists", Proceedings of SPIE (2011), 7972(Pt. 1, Advances in Resist Materials and Processing Technology XXVIII), 797219/1-797219/6.


Koerner, Hilmar; Ober, Christopher K.; Xu, Huan  "Probing electric field response of LC thermosets via time-resolved X-ray and dielectric spectroscopy", Polymer  (2011), 52(10), 2206-2213.


Daga, Vikram K.; Schwartz, Evan L.; Chandler, Curran M.; Lee, Jin-Kyun; Lin, Ying; Ober, Christopher K.; Watkins, James J.  "Photoinduced Ordering of Block Copolymers", Nano Letters  (2011), 11(3), 1153-1160.


Sundaram, Harihara S.; Cho, Youngjin; Weinman, Craig J.; Paik, Marvin Y.; Dimitriou, Mike D.; Brewer, Lenora H.; Finlay, John; Wendt, Dean E.; Callow, Maureen E.; Callow, James A.; Kramer, Edward J.; Ober, Christopher K.  "Environmentally friendly mixed amphiphilic surface active block copolymers for foul release applications", PMSE Preprints (2011), No pp. given


Hoshi, Yosuke; Xu, Youyong; Ober, Christopher K.  "Photo-responsive polymer brush for protein patterning", PMSE Preprints  (2011),  No pp. given.


Ober, Christopher K.  "Patterning thin films: Do we need polymers any more?", PMSE Preprints  (2011), No pp. given.


Therien-Aubin, Heloise; Chen, Lin; Ober, Christopher K.  "Antifouling properties of functionalized polyaramide membranes",    Polymer Preprints (American Chemical Society, Division of Polymer Chemistry)  (2011),  52(1),  No pp. given.


Ouyang, Christine Y.; Lee, Jin-Kyun; Krysak, Marie E.; Ober, Christopher K.  "Environmentally friendly development of conventional polymeric photoresists using non-polar silicone fluids", Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2011), 52(1),  No pp. given.


Christopher K. Ober, "Tribute: Robert W. Lenz", Macromolecules, 2011, ASAP.


Hon Hang Fong, Jin-Kyun Lee, Yee-Fun Lim, Alexander A. Zakhidov, Wallace W. H. Wong, Andrew B. Holmes, Christopher K. Ober, George G. Malliaras, "Orthogonal Processing and Patterning Enabled by Highly Fluorinated Light-Emitting Polymers", Advanced Materials, 23(6), 735-739, 2011.


A. De Silva and C. K. Ober, "Patterning by Lithography", in Functional Polymeric Ultrathin Films, R. Advincula and W. Knoll, eds., Wiley-VCH (Weinheim), 2011, 1, 475-499.


Mary Welch, Abhinav Rastogi, Christopher Ober, "Utilizing Polymer Brushes for the Development of Electrochemical Biosensors", Soft Matter, (2011), 7(2),  297-302.


2010

Kim Y, Malliaras GG, Ober CK, Kim E, "An Electrochemical Glucose Sensor from an Organically Modified Nanocomposite of Viologen and TiO2", Journal of Nanoscience and Nanotechnology, 10(10), 6869-6873 (2010).


Marvin Y. Paik, Youyong Xu, Abhinav Rastogi, Manabu Tanaka, Yi Yi and Christopher K. Ober, "Patterning of Polymer Brushes - A Direct Approach to Complex, Sub-Surface Structure", Nano Letters, 10(10), 3873-3879 (2010).


Sundaram, Harihara S.; Cho, Youngjin; Weinman, Craig J.; Paik, Marvin Y.; Dimitriou, Mike; Finlay, John; Callow, Maureen E.; Callow, James A.; Kramer, Edward J.; Ober, Christopher K.   Environmentally friendly non-ionic and fluorine free surface-active amphiphilic block copolymers for fouling release applications. PMSE Preprints (2010), No pp. given. 


Krysak, Marie; Ober, Christopher K.   Photoacid generator-attached molecular glass photoresists. PMSE Preprints  (2010), No pp. given.


Ober, Christopher K.; Ouyang, Christine Y.; Lee, Jin-Kyun; Sha, Jing. Green processing of photoresists in non-polar fluids for high-resolution patterning. PMSE Preprints  (2010), No pp. given.


Chavis, Michelle A.; Bosworth, Joan K.; Andre, Xavier; Paik, Marvin; Schwartz, Evan L.; Ober, Christopher K.  Top-down meets bottom-up: self-assembly of patternable block copolymers. PMSE Preprints  (2010), No pp. given.


Xu, Youyong; Paik, Marvin Y.; Welch, Mary E.; Ober, Christopher K.  Direct patterning of multi-component polymer brushes. PMSE Preprints  (2010), No pp. given.


Rong Dong, Raymond P. Molloy, Manfred Lindau, Christopher K. Ober, "Quaternized PMETA Polymer Brushes and Their Applications for Guiding Neuronal Growth", Biomacromolecules, (2010), 11(8), 2027-203.


Schwartz, Evan L.; Ober, Christopher K., "Phase-selective chemistry in block copolymer systems". Editor(s): Geckeler, Kurt E.; Nishide, Hiroyuki. Advanced Nanomaterials (2010), 1 1-66. Publisher: Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim, Germany


Cornelius B. Kristalyn, Xiaolin Lu, Craig J. Weinman, Christopher K. Ober, Edward J. Kramer, Zhan Chen, "Surface Structures of an Amphiphilic Tri-Block Copolymer in Air and in Water Probed Using Sum Frequency Generation Vibrational Spectroscopy", Langmuir, (2010), 26(13), 11337-11343.


Woo Jin Bae, Markos Trikeriotis, Jing Sha, Evan L. Schwartz, Robert Rodriguez, Paul Zimmerman, Emmanuel P. Giannelis and Christopher K. Ober, "High Refractive Index and High Transparency HfO2 Nanocomposites for Next Generation Lithography", J. Mat. Chem., (2010), 20(25), 5186-5189.


Daewon Park, Craig J. Weinman, John A. Finlay, Benjamin R. Fletcher, Marvin Y. Paik, Harihara S. Sundaram, Michael Dimitriou, Karen E. Sohn, Maureen E. Callow, James A. Callow, Dale L. Handlin, Carl L. Willis, Daniel A. Fischer, Edward J. Kramer, and Christopher K. Ober, "Amphiphilic Surface Active Triblock Copolymers with Mixed Hydrophobic and Hydrophilic Side Chains for Tuned Marine Fouling-Release Properties", Langmuir, (2010), 26(12), 9772-9781.


Jing Sha, Jin-Kyun Lee, Christopher K. Ober, Shuhui Kang, Vivek M. Prabhu, Christopher L. Soles, Peter V. Bonnesen, "Architectural Effects on Reaction-Diffusion Kinetics in Molecular Glass Photoresists", Chem. Mater., (2010),  22(10),  3093-3098.


Craig J. Weinman, Nikhil Gunari, Sitaraman Krishnan, Rong Dong, Marvin Y. Paik, Karen E. Sohn, Gilbert C. Walker, Edward J. Kramer, Daniel A. Fischer, Christopher K. Ober, "Protein Adsorption Resistance of Anti-Biofouling Block Copolymers Containing Amphiphilic Side Chains", Soft Matter, 6(14), 3237-3243 (2010).


Jung, Byungki; Sha, Jing; Paredes, Florencia; Ober, Christopher K.; Thompson, Michael O.; Chandhok, Manish; Younkin, Todd R. Sub-millisecond post exposure bake of chemically amplified resists by CO2 laser heat treatment. Proceedings of SPIE (2010), 7639  76390L-76390L-9.


Taylor, Priscilla G.; Lee, Jin-Kyun; Zakhidov, Alexander A.; Hwang, Ha Soo; DeFranco, John A.; Fong, Hon Hang; Chatzichristidi, Margarita; Murotani, Eisuke; Malliaras, George G.; Ober, Christopher K. Orthogonal lithography for organic electronics.  Proceedings of SPIE (2010), 7639 76390Z-76390Z-8.


Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K. New self-assembly strategies for next generation lithography.    Proceedings of SPIE  (2010),  7639  76390G-76390G-11.


Krysak, Marie; Kolb, Tristan; Neuber, Christian; Schmidt, Hans-Werner; Ober, Christopher K. All-dry processible and PAG-attached molecular glasses for improved lithographic performance.    Proceedings of SPIE  (2010),  7639  76392C-76392C-8.


Forman, Drew C.; Wieberger, Florian; Groeschel, Andre; Mueller, Axel H. E.; Schmidt, Hans-Werner; Ober, Christopher K. Comparison of star and linear ArF resists.    Proceedings of SPIE  (2010),  7639  76390P-76390P-8.


Ouyang, Christine Y.; Lee, Jin-Kyun; Sha, Jing; Ober, Christopher K. Environmentally friendly processing of photoresists in scCO2 and decamethyltetrasiloxane.    Proceedings of SPIE  (2010),  7639  763912-763912-8.


Trikeriotis, Markos; Bae, Woo Jin; Schwartz, Evan; Krysak, Marie; Lafferty, Neal; Xie, Peng; Smith, Bruce; Zimmerman, Paul A.; Ober, Christopher K.; Giannelis, Emmanuel P.; Development of an inorganic photoresist for DUV, EUV, and electron beam imaging.    Proceedings of SPIE  (2010),  7639  76390E-76390E-10.


*    Krishnan, Sitaraman; Paik, Marvin Y.; Ober, Christopher K.; Martinelli, Elisa; Galli, Giancarlo; Sohn, Karen E.; Kramer, Edward J.; Fischer, Daniel A.   NEXAFS Depth Profiling of Surface Segregation in Block Copolymer Thin Films. Macromolecules (2010), 43(10), 4733-4743.


*    Daewon Park, John A. Finlay, Rebekah J. Ward, Craig J. Weinman, Sitaraman Krishnan, Marvin Paik, Karen E. Sohn, Maureen E. Callow, James A. Callow, Esther R. Angert, Edward J. Kramer and Christopher K. Ober, "Antimicrobial Behavior of Semifluorinated-Quaternized Triblock Copolymers against Airborne and Marine Microorganisms", Applied Materials and Interfaces, (2010), 2(3), 703-711.


*    Marvin Y. Paik, Joan K. Bosworth, Detlef-M. Smilgies, Evan L. Schwartz, Xavier André, Christopher K. Ober, "Reversible Morphology Control in Block Copolymer Films via Solvent Vapor Processing: An In-Situ GISAXS Study", Macromolecules, (2010), 43(9), 4253-4260.


*    Kang, Shuhui; Wu, Wenli; Choi, Kwang-Woo; De Silva, Anuja; Ober, Christopher; Prabhu, Vivek, "Characterization of the photoacid diffusion length and reaction kinetics in EUV photoresists with IR spectroscopy", Macromolecules, (2010), 43(9),  4275-4286.


*    Kim, Yuna; Do, Jaekwon; Kim, Jeonghun; Yang, Sang Yoon; Malliaras, George G.; Ober, Christopher K.; Kim, Eunkyoung.   A glucose sensor based on an organic electrochemical transistor structure using a vapor polymerized poly(3,4-ethylenedioxythiophene) layer. Japanese Journal of Applied Physics  (2010), 49(1, Pt. 2),  01AE10/1-01AE10/6.


Paik, Marvin Y.; Rastogi, Abhinav; Tanaka, Manabu; Xu, Youyong; Welch, Mary E.; Yi, Yi; Ober, Christopher K. 2D and 3D patterning of polymer brushes using a direct patterning approach.  PMSE Preprints  (2010), No pp. given.


Welch, Mary E.; Xu, Youyong; Rastogi, Abhinav; Smith, Norah; Tague, Michele; Chen, Hongjun; Baird, Barbara A.; Abruna, Hector D.; Ober, Christopher K.  Functionalized polymer brushes for detection of antibodies in an electrochemical biosensor.    PMSE Preprints  (2010), No pp. given.


Therien-Aubin, Heloise; Ober, Christopher K.; Huang, Xiaofei; Hoek, Eric M.   Antifouling polymer coating for reverse osmosis membrane.    Abstracts of Papers, 239th ACS National Meeting, San Francisco, CA, United States, March 21-25, 2010  (2010),  POLY-274.


*    Abhinav Rastogi, Marvin Y. Paik, Manabu Tanaka and Christopher K. Ober, "Direct Patterning of Intrinsically E-beam Sensitive Polymer Brushes", ACS Nano, (2010), 4(2), 771-780.


*    Jin-Kyun Lee, Hon Hang Fong, Alexander A. Zakhidov, Georgia E. McCluskey, Priscilla G. Taylor, Mitk'el Santiago-Berrios, Héctor D. Abruña, Andrew B. Holmes, George G. Malliaras, Christopher K. Ober*, "Semi-Perfluoroalkyl Polyfluorenes for Orthogonal Processing in Fluorous Solvents", Macromolecules, (2010), 43(3), 1195-1198.


*    Martien A. Cohen Stuart, Wilhelm T. S. Huck, Jan Genzer, Marcus Muller, Christopher Ober, Manfred Stamm, Gleb B. Sukhorukov, Igal Szleifer, Vladimir V. Tsukruk, Marek Urban, Françoise Winnik, Stefan Zauscher, Igor Luzinov & Sergiy Minko, "Emerging applications of stimuli-responsive polymer materials", Nature Materials 9, 101 - 113 (2010)


*    Byung-Ryool Hyun, A. C. Bartnik, Jin-Kyun Lee, Hiroaki Imoto, Liangfeng Sun, Joshua J. Choi, Yoshiki Chujo, Tobias Hanrath, Christopher K. Ober, and F. W. Wise,  "Role of Solvent Dielectric Properties on Charge Transfer from PbS Nanocrystals to Molecules", Nano Letters, (2010), 10(1), 318-323.


2009

*    Day, Peter; Interrante, Leonard V.; West, Anthony R.; Shirota, Y.; Prato, Maurice; Tatsumi, K.; Loss, R. D.; Coplen, T. B.; Ding, T.; Garcia-Martinez, J.; Leskela, M.; Oro, L. A.; Reedijk, J.; Suh, M. P.; Chadwick, A. V.; Drabik, M.; Holden, N. E.; Mathur, S.; Sakai, K.; Takats, J.; Basova, T. V.; Alles, A. Bologna; Gionfiantini, R.; Karen, P.; Liu, L.-K.; Ohrstrom, L. R.; Corish, J.; Rosenblatt, G.; Jones, R.; Ober, C.; Weir, R. D. Toward defining materials chemistry (IUPAC technical report). Pure and Applied Chemistry (2009), 81(9), 1707-1717.


*    Murotani E., Lee J.K., Chatzichristidi M., Zakhidov A.A., Taylor P.G., Schwartz E.L., Malliaras G.G., Ober CK, "Cross-Linkable Molecular Glasses: Low Dielectric Constant Materials Patternable in Hydrofluoroethers", ACS Applied Materials & Interfaces, 1(10), 2363-2370 (2009).


*    Sha, Jing; Jung, Byungki; Thompson, Michael O.; Ober, Christopher K.; Chandhok, Manish; Younkin, Todd R. "Submillisecond post-exposure bake of chemically amplified resists by CO2 laser spike annealing", J. Vac. Sci. Technol. B, 27(6), 3020-3024 (2009)


*    Craig J. Weinman, John A. Finlay, Daewon Park, Marvin Y. Paik, Sitaraman Krishnan, Harihara S. Sundaram, Michael Dimitriou, Karen E. Sohn, Maureen E. Callow, James A. Callow, Dale L. Handlin, Carl L. Willis, Edward J. Kramer and Christopher K. Ober, "ABC Triblock Surface Active Block Copolymers with Grafted Ethoxylated Fluoroalkyl Amphiphilic Side Chains for Marine Anti-Fouling/Fouling-Release Applications", Langmuir, 25(20), 12266-12274 (2009).


*    Joan K. Bosworth, Jing Sha, Charles T. Black, Christopher K. Ober, "Selective Area Control of Self-Assembled Pattern Architecture Using a Lithographically Patternable Block Copolymer", ACS Nano, 2009, 3(7), pp 1761-1766.


*    Abhinav Rastogi, Marvin Y. Paik and Christopher K. Ober, "Dry Development of a Directly Patterned Low Surface Energy Polymer Brush in Supercritical Carbon Dioxide", Applied Materials and Interfaces, (2009), 1(9), 2013-2020.


*     Abhinav Rastogi, Suddhasattwa Nad, Manabu Tanaka, Nicolas Da Mota, Michele Tague, Barbara A. Baird, Héctor D. Abruña and Christopher K. Ober, "Preventing Non-Specific Adsorption on Polymer Brush Covered Gold Electrodes Using a Modified ATRP Initiator", Biomacromolecules, (2009), 10(10), 2750-2758.


*    Yi Yi, Ramakrishnan Ayothi, Yueh Wang,  Mingqi Li,  George Barclay,  Heidi Cao and Christopher K. Ober, "Sulfonium Salts of Alicyclic Group Functionalized Semifluorinated Alkyl Ether Sulfonates As Photoacid Generators", Chem. Mater., (2009),  21(17),  4037-4046.


Wallace, W. E.; Flynn, K. M.; Guttman, C. M.; Vander Hart, D. L.; Prabhu, V. M.; De Silva, A.; Felix, N. M.; Ober, C. K.   Quantitative measurement of the molecular-mass distribution in calix[4]resorcinarene molecular glass resists by mass spectrometry.    Proceedings of SPIE  (2009),  7273(Pt. 2, Advances in Resist Materials and Processing Technology XXVI),  72732L/1-72732L/9. 


Trikeriotis, Markos; Rodriguez, Robert; Zettel, Michael F.; Bakandritsos, Aristeidis; Bae, Woo Jin; Zimmerman, Paul A.; Ober, Christopher K.; Giannelis, Emmanuel P.   High refractive index nanoparticle fluids for 193-nm immersion lithography.    Proceedings of SPIE  (2009),  7273(Pt. 1, Advances in Resist Materials and Processing Technology XXVI),  72732A/1-72732A/6


Bae, Woo Jin; Trikeriotis, Makros; Rodriguez, Robert; Zettel, Michael F.; Piscani, Emil; Ober, Christopher K.; Giannelis, Emmanuel P.; Zimmerman, Paul.   High-index nanocomposite photoresist for 193-nm lithography.    Proceedings of SPIE  (2009),  7273(Pt. 1, Advances in Resist Materials and Processing Technology XXVI),  727326/1-727326/10.


Sha, Jing; Lee, Jin-Kyun; Ober, Christopher K.   Molecular glass resists developable in supercritical carbon dioxide for 193 nm lithography.    Proceedings of SPIE  (2009),  7273(Pt. 2, Advances in Resist Materials and Processing Technology XXVI),  72732T/1-72732T/8.


Krysak, Marie; De Silva, Anuja; Sha, Jing; Lee, Jin-Kyun; Ober, Christopher K.   Molecular glass resists for next-generation lithography.    Proceedings of SPIE  (2009),  7273(Pt. 2, Advances in Resist Materials and Processing Technology XXVI),  72732N/1-72732N/8.  CODEN: PSISDG  ISSN:0277-786X.


*    Lee JK, Taylor PG, Zakhidov AA, et al., "Orthogonal Processing: A Novel Photolithographic Patterning Method for Organic Electronics, "Journal Of Photopolymer Science And Technology, 22(5), 565-569 (2009).


*    Yee-Fun Lim, Jin-Kyun Lee, Alexander A. Zakhidov, John A. DeFranco, Hon Hang Fong, Priscilla G. Taylor, Christopher K. Ober and George G. Malliaras, "High voltage polymer solar cell patterned with photolithography", Journal Of Materials Chemistry, 19(30), 5394-5397 (2009).


*    Tanaka, Manabu; Rastogi, Abhinav; Toepperwein, Gregory N.; Riggleman, Robert A.; Felix, Nelson M.; de Pablo, Juan J.; Ober, Christopher K. Fluorinated Quaternary Ammonium Salts as Dissolution Aids for Polar Polymers in Environmentally Benign Supercritical Carbon Dioxide, Chemistry of Materials, 21(14) 3125-3135 (2009)


*    Manabu Tanaka, Abhinav Rastogi, Hiroto Kudo, Daisuke Watanabe, Tadatomi Nishikubo, and Christopher K. Ober, "Environmentally Friendly Patterning of Molecular Waterwheel (Noria) in Supercritical Carbon Dioxide", J. Mater. Chem., 19(26), 4622-4626 (2009).


*    Priscilla G. Taylor, Jin-Kyun Lee, Alexander A. Zakhidov, Margarita Chatzichristidi, Hon Hang Fong, John A. DeFranco, George G. Malliaras, Christopher K. Ober, "Orthogonal Patterning of PEDOT:PSS for Organic Electronics using Hydrofluoroether Solvents", Adv. Mater., (2009),  21(22),  2314-2317.


*    Rong Dong, Manfred Lindau, Christopher K. Ober, "Dissociation behavior of weak polyelectrolyte brushes on a planar surface", Langmuir, (2009) 25(8), 4774-4779.


*    Vander Hart, David L.; Prabhu, Vivek M.; De Silva, Anuja; Felix, Nelson M.; Ober, Christopher K.   Solid state NMR investigation of photoresist molecular glasses including blend behavior with a photoacid generator.    Journal of Materials Chemistry  (2009), 19(18),  2683-2694.


*    Wallace, W. E.; Flynn, K. M.; Guttman, C. M.; VanderHart, D. L.; Prabhu, V. M.; De Silva, A.; Felix, N. M.; Ober, C. K.   Quantitative measurement of the polydispersity in the extent of functionalization of glass-forming calix[4]resorcinarenes.    Rapid Communications in Mass Spectrometry  (2009),  23(13),  1957-1962.


*    Jin-Kyun Lee, Margarita Chatzichristidi, Alexander A. Zakhidov, Ha Soo Hwang, Evan L. Schwartz, Jing Sha, Priscilla G. Taylor, Hon Hang Fong, John A. DeFranco, Eisuke Murotani, Wallace W. H. Wong, George G. Malliaras and Christopher K. Ober, "Acid-diffusion behaviour in organic thin films and its effect on patterning", J. Mater. Chem., 2009, 19, 2986 - 2992, DOI: 10.1039/b817286b


*    Shalin J. Jhaveri, Jesse D. McMullen, Rint Sijbesma, and Warren Zipfel, "Direct three-dimensional microfabrication of hydrogels via two-photon lithography in aqueous solution", Chemistry of Materials, (2009), 21(10),  2003-2006.


*    Jing Sha and C. K. Ober, "Fluorine- and Siloxane-Containing Polymers for Supercritical Carbon Dioxide Lithography", Polymer International, (2009), 58(3), 302-306.


Ober, Christopher K.; Lee, Jin-Kyun; Zakhidov, Alexander A.; Fong, Hon Hang; Taylor, Priscilla G.; DeFranco, John A.; Hwang, Ha Soo; Chatzichristidi, Margarita; Holmes, Andrew B.; Malliaras, George G.   Orthogonal processing for organic electronics.    Polymer Preprints (American Chemical Society, Division of Polymer Chemistry)  (2009), 50(1),


Ober, Christopher K.; Rastogi, Abhinav; Dong, Rong; Tanaka, Manabu; Chiang, Ethan N.; Berrios, Grace; Nad, Suddhasattwa; Smith, Norah; Blum, Lisa; Bisharyan, Yelena; Liu, Yi; Berberian, Khajak; Clark, Theodore; Appleton, Judith; Baird, Barbara A.; Lindau, Manfred; Abruna, Hector D.   Nanostructured polymer brushes for life science applications.    Polymer Preprints (American Chemical Society, Division of Polymer Chemistry)  (2009),  50(1),


Taylor, Priscilla G.; Lee, Jin Kyun; Zakhidov, Alexander A.; Chatzichristidi, Margarita; Fong, Hon Hang; DeFranco, John; Malliaras, George G.; Ober, Christopher K..   Synthesis and application of a nonchemically amplified photoresist for organic electronics.    PMSE Preprints  (2009),  100  521-522.


Lee, Jin-Kyun; Fong, Hon Hang; Zakhidov, Alexander A.; McCluskey, Georgia E.; Taylor, Priscilla G.; Holmes, Andrew B.; Malliaras, George G.; Ober, Christopher K..   Synthesis of semi-perfluoroalkyl polyfluorenes for Orthogonal processing in hydrofluoroether solvents.    PMSE Preprints  (2009),  100  504-505.


Murotani, Eisuke; Lee, Jin-Kyun; Chatzichristidi, Margarita; Zakhidov, Alexander A.; Taylor, Priscilla G.; Ober, Christopher K.   Low-k materials patternable in environmentally friendly solvents.    PMSE Preprints  (2009),  100  472-473.


*    Ober, C. K.; Cheng, S. Z. D.; Hammond, P. T.; Muthukumar, M.; Reichmanis, E.; Wooley, K. L.; Lodge, T. P.   Research in Macromolecular Science: Challenges and Opportunities for the Next Decade.    Macromolecules (2009),  42(2),  465-471.


*    Georgia E. McCluskey, Jin-Kyun Lee, Jing Sha, Christopher K. Ober and Andrew B. Holmes. "Synthesis and Processing of Organic Materials in Supercritical Carbon Dioxide", MRS Bulletin, Vol. 34, No. 2 (February 2009) pp. 73-144.


*    Shalin J. Jhaveri, Matthew R. Hynd, James N. Turner, William Shain, Christopher K. Ober, "2-Hydroxyethyl methacrylate based hydrogel coated neural prosthetic devices for delivery of nerve growth factor", Biomacromolecules, 2009, 10 (1), 174-183.


*    E. Martinelli, S. Menghetti, G. Galli, A. Glisenti, Sitaraman Krishnan, Marvin Y. Paik, Christopher K. Ober, Detlef-M. Smilgies, Daniel A. Fischer, "Macromolecular Engineering Of Styrene/Amphiphilic Styrene Block Copolymers", J. Polym. Sci.: Chem. Ed., 47(1), 267-284 2009.


*    Lorenz Steidl, Shalin J. Jhaveri, Ramakrishnan Ayothi, Jing Sha, Jesse D. McMullen, Sin Yee Cindy Ng, Warren R. Zipfel, Rudolf Zentel, and Christopher K. Ober, "Non-ionic photo-acid generators for applications in two-photon lithography", J. Mater. Chem., 19(4), 505-513 (2009).


2008

*    Nelson Felix and Christopher K. Ober, "Acid-Labile, Chain-Scission Polymer Systems Used as Positive-Tone Photoresists Developable in Supercritical CO2", Chem. Mater., 2008, 20 (9), pp 2932-2936.


*    Sivaniah, Easan; Genzer, Jan; Hexemer, Alex; Kramer, Edward; Xiang, Maoliang; Li, Xuefa; Ober, Christopher; Magonov, Sergei "Non-planar surface organization of dendrons in side-chain modified liquid crystalline block copolymers", Macromolecules, (2008), 41(24), 9940-9945.


*    Anuja De Silva, Linda K. Sundberg, Hiroshi Ito, Ratnam Sooriyakumaran, Robert D. Allen and Christopher K. Ober, "A Fundamental Study On Dissolution Behavior Of High Resolution Molecular Glass Photoresists", Chem. Mater., (2008),  20(23),  7292-7300.


*    Anuja De Silva, Nelson M. Felix, and Christopher K. Ober, "Molecular Glass Resists as High Resolution Patterning Materials", Adv. Mater., (2008),  20(17),  3355-3361


*    A. A. Zakhidov, Jin-Kyun Lee, Hon Hang Fong, John A. DeFranco, Margarita Chatzichristidi, Priscilla Taylor, Christopher K. Ober and George G. Malliaras, "Orthogonal solvents for chemical processing of organic electronic materials", Adv. Mater., (Weinheim, Germany)  (2008),  20(18),  3481-3484.


*    J. K. Bosworth, M. Y. Paik, R. Ruiz, E. L. Schwartz, J. Q. Huang, A. W. Ko, D.-M. Smilgies, C. T. Black and C. K. Ober, "Control of Self Assembly of Lithographically-Patternable Block Copolymer Films", ACS Nano, (2008), 2(7), 1396-1402.


*    Jin-Kyun Lee, Margarita Chatzichristidi, Alexander Zakhidov, Priscilla G. Taylor, John A. DeFranco, Ha Soo Hwang, Hon Hang Fong, Andrew B. Holmes, George G. Malliaras, Christopher K. Ober, "Acid-sensitive Semi-perfluoroalkyl Resorcinarene: An Imaging Material for Organic Electronics", JACS, (2008), 130(35), 11564-11565.


Ober, Christopher K.; Dong, Rong; Rastogi, Abhinav; Weinman, Craig J.; Tanaka, Manabu; Hemmelmann, Mirjam; Chiang, Ethan N.; Park, Daewon; Yi, Yi; Paik, Marvin Y.; Nad, Suddhasattwa; Smith, Norah; Handlin, Dale L.; Willis, Carl L.; Kramer, Edward J.; Baird, Barbara A.; Abruna, Hector D.   Polymer brushes as responsive materials for the biology-material interface.  PMSE Preprints  (2008), 99 109-110.


Bosworth, Joan K.; Paik, Marvin Y.; Schwartz, Evan L.; Ruiz, Ricardo; Black, Charles T.; Smilgies, Detlef-M.; Ober, Christopher K.  Morphology control of lithographically patternable diblock copolymer by solvent annealing.    PMSE Preprints  (2008), 99  158-159.


Dong, Rong; Lindau, Manfred; Ober, Christopher K.   Investigating dissociation behavior of weak polyelectrolyte brushes on a planar surface by FTIR and contact angle titration.    PMSE Preprints  (2008),  99  404-405.


Paik, Marvin Y.; Rastogi, Abhinav; Tanaka, Manabu; Ober, Christopher K.   Direct patterning of polymer brushes using electron beam lithography.    PMSE Preprints  (2008), 99  529-530.


Rastogi, Abhinav; Tanaka, Manabu; Nad, Suddhasattwa; Smith, Norah; Baird, Barbara A.; Abruna, Hector D.; Ober, Christopher K.   Role of polymer brushes in the development of an electrochemical/gravimetric biosensor.    PMSE Preprints  (2008), 99  541-542.


*    Kudo, Hiroto; Watanabe, Daisuke; Nishikubo, Tadatomi; Maruyama, Ken; Shimizu, Daisuke; Kai, Toshiyuki; Shimokawa, Tsutomu; Ober, Christopher K.   A novel noria (water-wheel-like cyclic oligomer) derivative as a chemically amplified electron-beam resist material.  Journal of Materials Chemistry  (2008), 18(30), 3588-3592.


Manabu Tanaka, Abhinav Rastogi, Nelson M. Felix, Christopher K. Ober,  "Supercritical Carbon Dioxide Compatible Salts: Synthesis and Application to Next Generation Lithography", Journal of Photopolymer Science & Technology, (2008),  21(3),  393-396


Zimmerman, Paul A.; Rice, Bryan; Rodriguez, Robert; Zettel, Michael F.; Trikeriotis, Markos; Wang, Dongyan; Yi, Yi; Bae, Woo Jin; Ober, Christopher K.; Giannelis, Emmanuel P.   The use of nanocomposite materials for high refractive index immersion lithography.  Journal of Photopolymer Science and Technology  (2008), 21(5),  621-629.


*    Sitaraman Krishnan, Craig J. Weinman and Christopher K. Ober, "Advances in Polymers for Anti-Biofouling Surfaces", J. Mater. Chem., (2008), 18(29), 3405-3413.


*    Ha Soo Hwang, Alexander A. Zakhidov, Jin-kyun Lee, Xavier André, John A. DeFranco, Hon Hang Fong, Andrew B. Holmes, George G. Malliaras, Christopher K. Ober, "Dry Photolithographic Patterning Process for Organic Electronic Devices using Supercritical Carbon Dioxide as a Solvent", J. Mater. Chem., 2008, 18, 3087 - 3090.


*    Nelson M. Felix, Anuja De Silva, and Christopher K. Ober, "Calix[4]resorcinarene Derivatives as High Resolution Photoresist Materials for Supercritical CO2 Processing", Adv. Mater., (2008),  20(7),  1303-1309.


*    Ji-Hyun Jang, Shalin J. Jhaveri, Boris Rasin, Choeng Yang Koh, Christopher K. Ober and Edwin L. Thomas, "Three-dimensionally Patterned Submicron Scale Hydrogel/Air Networks that Offer a New Platform for Biomedical Applications", Nano Letters, (2008),  8(5), 1456-1460.


    Weinman, Craig J.; Finlay, John A.; Park, Daewon; Paik, Marvin Y.; Krishnan, Sitaraman; Fletcher, Benjamin R.; Callow, Maureen E.; Callow, James A.; Handlin, Dale L.; Willis, Carl L.; Fischer, Daniel A.; Sohn, Karen E.; Kramer, Edward J.; Ober, Christopher K.   Antifouling ABC triblock copolymers with grafted functionality.    PMSE Preprints  (2008), 98 639-641.


    Krishnan, Sitaraman; Finlay, John A.; Park, Daewon; Weinman, Craig J.; Dong, Rong; Wong, Kaiming; Asgill, Nicola; Callow, Maureen E.; Callow, James A.; Handlin, Dale L.; Willis, Carl L.; Brewer, Lenora; Wendt, Dean E.; Sohn, Karen E.; Kramer, Edward J.; Ober, Christopher K.   Ambiguous polymeric surfaces for marine antifouling applications.  PMSE Preprints  (2008), 98  83-84. 


De Silva, Anuja; Felix, Nelson M.; Ober, Christopher K. New architectures for high resolution resist materials: molecular glass resists.  PMSE Preprints  (2008), 98 64-65.


Zimmerman, Paul A.; Byers, Jeffrey; Rice, Bryan; Ober, Christopher K.; Giannelis, Emmannuel P.; Rodriguez, Robert; Wang, Dongyan; O’Connor, Naphtali; Lei, Xuegong; Turro, Nicholas J.; Liberman, Vladimir; Palmacci, Stephen; Rothschild, Mordechai; Lafferty, Neal; Smith, Bruce W.   Development and evaluation of a 193nm immersion generation-three fluid candidates.    Proceedings of SPIE  (2008),  6923  69230A-69230A-10.


Zimmerman, Paul A.; Byers, Jeffrey; Piscani, Emil; Rice, Bryan; Ober, Christopher K.; Giannelis, Emmannuel P.; Rodriguez, Robert; Wang, Dongyan; Whittaker, Andrew; Blakey, Idriss; Chen, Lan; Dargaville, Bronwin; Liu, Heping.   Development of an operational high refractive index resist for 193nm immersion lithography.    Proceedings of SPIE  (2008),  6923  692306-692306-10.


Yi, Yi; Ayothi, Ramakrishnan; Ober, Christopher K.; Yueh, Wang; Cao, Heidi.   Ionic photoacid generators containing functionalized semifluorinated sulfonates for high-resolution lithography.    Proceedings of SPIE  (2008),  6923  69231B-69231B-8.


Kang, Shuhui; Lavery, Kristopher; Choi, Kwang-Woo; Prabhu, Vivek M.; Wu, Wen-Li; Lin, Eric; De Silva, Anuja; Felix, Nelson; Ober, Christopher.   A comparison of the reaction-diffusion kinetics between model-EUV polymer and molecular-glass photoresists.    Proceedings of SPIE  (2008),  6923  692317-692317-12.  CODEN: PSISDG  ISSN:0277-786X.


VanderHart, David L.; De Silva, Anuja; Felix, Nelson M.; Prabhu, Vivek M.; Ober, Christopher K..   The effect of EUV molecular glass architecture on the bulk dispersion of a photo-acid generator.    Proceedings of SPIE  (2008),  6923  69231M-69231M-15.


De Silva, Anuja; Felix, Nelson; Sha, Jing; Lee, Jin-Kyun; Ober, Christopher K..   Molecular glass resists for next generation lithography.    Proceedings of SPIE  (2008),  6923  69231L-69231L-14.  CODEN: PSISDG  ISSN:0277-786X.


Felix, Nelson M.; De Silva, Anuja; Sha, Jing; Ober, Christopher K..   Achieving small dimensions with an environmentally friendly solvent: photoresist development using supercritical CO2.    Proceedings of SPIE  (2008), 6923  69233L-69233L-11. 


*    Anuja De Silva and Christopher K. Ober, "Hydroxyphenylbenzene Derivatives as Glass Forming Molecules for High Resolution Photoresists", J. Mater. Chem., 2008, 18, 1903 - 1910.


*    Anuja De Silva, Jin Kyun Lee, Xavier André, Nelson M. Felix, Heidi B. Cao, Hai Deng and Christopher K. Ober, "Study of the Structure-Properties Relationship of Phenolic Molecular Glass Resists for Next Generation Photolithography", Chem. Mater., 20 (4), 1606-1613, 2008.


*    Nagarajan, Sivakumar; Bosworth, Joan; Ober, Christopher; Russell, Thomas; Watkins, James, "Simple Fabrication of Micropatterned Mesoporous Silica Films Using PAGs in Block Copolymers", Chem. Mater., 20(3) 604-606, 2008.


*    Sivakumar Nagarajan, Rajaram A. Pai, Thomas P. Russell, James J. Watkins, Mingqi Li, Katy S. Bosworth, Detlef-M. Smilgies, Christopher K. Ober, "An Efficient Route to Mesoporous Silica Films with Perpendicular Nanochannels", Adv. Mater., 2008, 20(2), 246-251.


*    John A Finlay, Sitaraman Krishnan, Maureen E Callow, James A Callow, Rong Dong, Nicola Asgill, Kaiming Wong, Edward J. Kramer, Christopher K. Ober, "Settlement of Ulva Zoospores on Patterned Fluorinated and PEGylated Monolayer Surfaces", Langmuir, (2008), 24(2), 503-510.


*    Frauke Pfeiffer, Nelson M. Felix, Christian Neuber, Christopher K. Ober, and Hans-Werner Schmidt, "Towards Environmentally Friendly, Dry Deposited, Water Developable Molecular Glass Photoresists", Physical Chemistry Chemical Physics, 10(9), 1257-1262: 2008.


    Nagarajan, Sivakumar; Bosworth, Joan K.; Ober, Christopher K.; Russell, Thomas P.; Watkins, James J.   Directly patterned mesoporous dielectric films templated from chemically amplified block copolymers.    Advanced Metallization Conference 2007, Proceedings, October 9-11, 2007 in Tokyo, Japan, and October 22-24, 2007 in New York, NY, United States, 2007  (2008), Meeting Date 2007,   495-499.


2007

*    Nelson M. Felix, Anuja De Silva, Camille Man Yin Luk and Christopher K. Ober, "Dissolution Phenomena of Phenolic Molecular Glass Photoresist Films in Supercritical CO2", J. Mater. Chem., (2007), 17(43), 4598-4604.


Joan K. Bosworth, Xavier Andre, Evan L. Schwartz, Ricardo Ruiz, Charles T. Black and Christopher K. Ober, "Control of Morphology Orientation in Lithographically Patternable Diblock Copolymers", Journal of Photopolymer Science and Technology, (2007), 20(4), 519-522.


*    Rong Dong, Sitaraman Krishnan, Barbara A. Baird, Manfred Lindau, Christopher K. Ober*, "Patterned Biofunctional Polymer Brushes", Biomacromolecules, (2007),  8(10), 3082-3092.


Xavier André, Jin Kyun Lee, Anuja DeSilva, Christopher K. Ober, Heidi B. Cao, Hai Deng, Hiroto Kudo, Daisuke Watanabe, Tadatomi Nishikubo, "Phenolic Molecular Glasses as Resists for Next Generation Lithography",  Proceedings of SPIE-The International Society for Optical Engineering  (2007),  6519(Pt. 2, Advances in Resist Materials and Processing Technology XXIV),  65194B/1-65194B/10.


*    Frauke Pfeiffer, Nelson Felix, Christian Neuber, Christopher K. Ober, Hans-Werner Schmidt, "Physical Vapor Deposition of Chemically Amplified Photoresists: A New Route to Patterning Molecular Glass Resists", Advanced Functional Materials  (2007), 17(14),  2336-2342.


*    Niamsiri, Nuttawee; Bergkvist, Magnus; Delamarre, Soazig C.; Cady, Nathan C.; Coates, Geoffrey W.; Ober, Christopher K.; Batt, Carl A.  Insight in the role of bovine serum albumin for promoting the in situ surface growth of polyhydroxybutyrate (PHB) on patterned surfaces via enzymatic surface-initiated polymerization.  Colloids and Surfaces, B: Biointerfaces (2007), 60(1), 68-79.


*    Daniel Bratton, Ramakrishnan Ayothi, Hai Deng, Heidi B. Cao and Christopher K. Ober, "Diazonaphthoquinone Molecular Glass Photoresists: Patterning without Chemical Amplification ", Chem. Mater., 19(15); 3780-3786 (2007).


*    Birger Lange, Shalin J. Jhaveri, Lorenz Steidl, R. Ayothi and Christopher K. Ober, Rudolf Zentel, "Creating defined 3D defects inside an opaline Ormocer® matrix with two-photon lithography", Macromol. Rapid Commun., 2007, 28, 922-926.


*    Marvin Y. Paik, Sitaraman Krishnan, Fengxiang You, Xuefa Li, Yushi Ando, Seok Ho Kang, Alexander Hexemer, Edward J. Kramer, Daniel A. Fischer, Christopher K. Ober, "Surface organization, light-driven surface changes and stability of semifluorinated azobenzene polymers", Langmuir, 2007, 23, 5110-5119.


*    R. Ayothi, Y. Yi, H. Cao, Y. Wang, S. Putna, C. K. Ober, "Arylonium photoacid generators containing environmentally compatible aryloxyperfluoroalkanesulfonate groups", Chem. Mater., 19(6): 1434-1444 (2007).


    Bosworth, Joan K.; Schwartz, Evan L.; Huang, Jenny Q.; Ko, Albert W.; Ruiz, Ricardo; Black, Charles T.; Ober, Christopher K.  Graphoepitaxy and orientational control of lithographically patternable diblock copolymers by solvent annealing.  PMSE Preprints  (2007),  96  659-660.


    Weinman, Craig J.; Krishnan, Sitaraman; Park, Daewon; Paik, Marvin Y.; Wong, Kaiming; Fischer, Daniel A.; Handlin, Dale L.; Kowalke, Greg L.; Wendt, Dean E.; Sohn, Karen E.; Kramer, Edward J.; Ober, Christopher K..  Antifouling block copolymer surfaces that resist settlement of Barnacle Larvae.    PMSE Preprints  (2007),  96  597-598.


*    Peter Busch, Sitaraman Krishnan, Marvin Paik, Gilman E.S. Toombes, Detlef-M. Smilgies, Sol M. Gruner and Christopher K. Ober, "Surface induced tilt propagation in thin films of semifluorinated liquid-crystalline side-chain block copolymers", Macromolecules, 40(1): 81-89 (2007).


*    Daniel Bratton, Ramakrishnan Ayothi, Nelson Felix and Christopher K. Ober, "Applications of Controlled Macromolecular Architectures to Lithography", in Macromolecular Engineering: From Precise Macromolecular Synthesis to Macroscopic Materials Properties and Application, K. Matykaszewski, Y. Gnanou and L. Leibler, eds., Wiley-VCH, Weinheim, 2007.


2006

*    Mingqi Li, Christopher K. Ober, "High Resolution Patterning with Block Copolymers", Materials Today, Volume 9, Issue 9, September 2006, Pages 30-39.


*    Sitaraman Krishnan, Rebekah J. Ward, Alexander Hexemer, Kristen L. Lee, Esther R. Angert, Daniel A. Fischer, Edward J. Kramer, Christopher K. Ober, "Surfaces of Fluorinated Pyridinium Block-Copolymers with Enhanced Antibacterial Activity", Langmuir, 22 (26): 11255-11266 (2006).


*    Young-Je Kwark, J. Pablo Bravo, Manish Chandhok, Heidi Cao, Hai Deng and Christopher K. Ober, "Absorbance Measurement of Polymers at EUV Wavelength: Correlation between experimental and theoretical calculations", JVSTB, B 24 (4): 1822-1826 JUL-AUG 2006.


*    Fengxiang You, Marvin Y. Paik, Michael Häckel, Lothar Kador, Daniela Kropp, Hans-Werner Schmidt, Christopher K. Ober, "Suppressing Surface Relief Gratings in Liquid Crystalline Perfluoralkyl-azobenzene Polymers", Adv. Func. Mater., 16 (12): 1577-1581 AUG 4 2006.


*    Junyan Dai, Seung Wook Chang, Alyssandrea Hamad, Da Yang, Nelson Felix, Christopher K. Ober, "Molecular Glass Resists For High Resolution Patterning", Chem. Mater., 18(15): 3404-3411 2006.


*    Wageesha Senaratne, Kazutake Takada, Raibatak Das, Jamie Cohen, Héctor D. Abruña, Barbara Baird and Christopher K. Ober, "Dinitrophenyl Ligand Substrates and their Application to Immunosensors", Biosensors and Bioelectronics, 2006, Vol 22/1 pp 63-70.


Shalin J. Jhaveri, Wageesha Senaratne, Matthew R. Hynd, James N.Turner, Barbara Baird, William Shain and Christopher K. Ober, "Defining the Biology-Materials Interface using both 2D and 3D Lithography", Journal of Photopolymer Science & Technology, 19(4): 435-440 2006.


Ramakrishnan Ayothi, Seung Wook Chang, Nelson Felix, Heidi B. Cao, Hai Deng, Wang Yueh, Christopher K. Ober, "New PFOS Free Photoresist Systems for EUV Lithography", Journal of Photopolymer Science & Technology, 19(4): 515-520 2006.


Lange, Birger; Zentel, Rudolf; Jhaveri, Shalin J.; Ober, Christopher K.  3D defect engineering in polymer opals.    Proceedings of SPIE-The International Society for Optical Engineering  (2006),  6182(Photonic Crystal Materials and Devices III),  61821W/1-61821W/11.


*    J. Y. Mao, N. Felix, P. Nguyen, C. K. Ober and K. K. Gleason, "Positive and Negative Tone Chemical Vapor Deposited Polyacrylic E-beam Resists Developable by Supercritical CO2", Advanced Materials, Chem. Vap. Deposition 2006, 12, 259-262.


Ramakrishnan Ayothi, Yi Yi, Christopher K Ober, Steve Putna, Wang Yueh and Heidi Cao, "All-organic Non-PFOS Nonionic Photoacid Generating Compounds with Functionalized Fluoroorganic Sulfonate Motif for Chemically Amplified Resists", Proceedings of SPIE-The International Society for Optical Engineering (2006), 6153 -61530J.


Daniel Bratton, Ramakrishnan Ayothi, Nelson Felix, Heidi Cao, Hai Deng, Christopher K. Ober, "Molecular Glass Resists for Next Generation Lithography", Proceedings of SPIE-The International Society for Optical Engineering  (2006), 6153 61531D.


Nelson Felix, Kousuke Tsuchiya, Camille Man Yin Luk and Christopher K. Ober, "Supercritical CO2 for High Resolution Photoresist Development", Proceedings of SPIE-The International Society for Optical Engineering (2006), 6153 61534B.


Shinji Tanaka, Christopher K Ober, "Adamantane Based Molecular Glass Resists for 193nm Lithography", Proceedings of SPIE-The International Society for Optical Engineering  (2006), 6153 - 61532B.


Anuja De Silva, Drew Forman, Christopher K. Ober, "Molecular Glass Resists for EUV Lithography", Proceedings of SPIE-The International Society for Optical Engineering  (2006), 6153  615341.


*    Sitaraman Krishnan, Ramakrishnan Ayothi, Alexander Hexemer, John Finlay, Karen Sohn, Ruth Perry, Christopher K. Ober, Edward J. Kramer, Maureen E. Callow, James A. Callow, Daniel Fischer, "Anti-Biofouling Properties of Comb-Like Block Copolymer with Amphiphilic Side-Chains", Langmuir, (2006), 22(11), 5075-5086.


    Sitaraman Krishnan, Christopher K. Ober, Alexander Hexemer, Edward. J. Kramer, and Daniel A. Fischer, "Compositional depth profiling of block copolymer surfaces using NEXAFS", PMSE Preprints, (2006), 94 672-673.


    Ramakrishnan Ayothi, Yi Yi, Nelson Felix, Christopher K. Ober, Heidi Cao and Wang Yueh, "Non-PFOS photoacid generating compounds for chemically amplified resists", Polymer Preprints, (2006), 47(1), 528-529.


    Shalin J. Jhaveri, Christopher A. Coenjarts, Loon-Seng Tan, Matthew R. Hynd, Ramamurthi Kannan, Richard A. Vaia, James N. Turner, William Shain, and Christopher K. Ober. "Two-photon 3-D patterning of gels and elastomers", PMSE Preprints, (2006), 94, 44-45.


    Lange, Birger; Zentel, Rudolf; Ober, Christopher K. "Methods for the preparation of defined embedded defects in polymer opals." Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2006), 47(1), 517-518.


*    Sitaraman Krishnan, Nick Wang, Christopher K. Ober,* John Finlay, Maureen E. Callow, James A. Callow, Alexander Hexemer, Edward J. Kramer, Daniel A. Fischer, "Comparison of the Fouling Release Properties of Hydrophobic Fluorinated and Hydrophilic PEGylated Block Copolymer Surfaces: Attachment Strength of the Diatom Navicula and the Green Alga Ulva", Biomacromolecules, (2006),  7(5),  1449-1462.


*    Osuji, Chinedum O.; Chao, Chi-Yang; Ober, Christopher K.; Thomas, Edwin L.  "Supramolecular Microphase Separation in a Hydrogen-Bonded Liquid Crystalline Comb Copolymer in the Melt State", Macromolecules, (2006), 39(9), 3114-3117.


*    Wageesha Senaratne, Prabuddha Sengupta,  Vladimir Jakubek,  David Holowka, Christopher K. Ober and Barbara Baird, "Self-assembled monolayer functionalized surface arrays for investigating immune cell signaling", JACS, (2006), 128(17),  5594-5595.


*    Seung Wook Chang, Ramakrishnan Ayothi, Daniel Bratton, Da Yang, Nelson Felix, Heidi B. Cao, Hai Deng and Christopher K. Ober, "Sub 50 nm Feature Sizes using Positive Tone Molecular Glass Resists for EUV Lithography", J. Mater. Chem., 2006, 16, 1470.


    Ober, Christopher; Ueda, Mitsuru. Cornell University, USA. Editorial. Polymers for Advanced Technologies (2006), 17(2), 71.


*    Daniel Bratton, Da Yang, Junyan Dai and Christopher K. Ober, "Recent progress in high resolution lithography", Polymers for Advanced Technology, 2006: 17: 94-103.


*    Nelson M. Felix, Kosuke Tsuchiya, and Christopher K. Ober, "High-Resolution Patterning Using Molecular Glasses and Supercritical Carbon Dioxide", Adv. Mater., (2006), 18(4),  442-446.


*    Da Yang, Seung Wook Chang, Christopher K. Ober, "Molecular Glass Photoresists for Advanced Lithography", J. Mater. Chem., 2006, 16, 1693 - 1696.


*    Kim, Young-Rok; Paik, Hyun-Jong; Ober, Christopher K.; Coates, Geoffrey W.; Mark, Sonny S.; Ryan, Thomas E.; Batt, Carl A.  Real-time analysis of enzymatic surface-initiated polymerization using surface plasmon resonance (SPR). Macromolecular Bioscience (2006), 6(2), 145-152.


2005

*    Da Yang, Shalin J. Jhaveri, and Christopher K. Ober, "Three-Dimensional Microfabrication by Two-Photon Lithography", MRS Bulletin, 30 (12), 976-982 (2005).


*    Mingqi Li, Christopher Coenjarts and Christopher K. Ober, "Patternable Block Copolymers", in Block Copolymers II, Advances in Polymer Science, V. Abetz, ed., 190 pp. 183 - 226, Springer (Heidelberg) 2005.


Ober, Christopher K.; Senaratne, Wageesha; Sengupta, Prabuddha; Baird, Barbara.  Patterned structures for study of cell-surface interactions. PMSE Preprints  (2005), 93 252-253. 


Krishnan, Sitaraman; Finlay, John A.; Hexemer, Alexander; Wang, Nick; Ober, Christopher K.; Kramer, Edward J.; Callow, Maureen E.; Callow, James A.; Fischer, Daniel.  Interaction of ulva and navicula marine algae with surfaces of pyridinium polymers with fluorinated side-chains.  Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2005), 46(2), 1248-1249.


Lin, Qin; Krishnan, Sitaraman; Paik, Marvin; Busch, Peter; Ober, Christopher K.; Hexemer, Alexander; Sohn, Karen E.; Kramer, Edward J.; Kowalke, Greg L.; Wendt, Dean E.  Semifluorinated triblock copolymers as surface active components for multilayer marine antifouling coatings.    Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2005), 46(2), 635-636. 


Krishnan, Sitaraman; Ober, Christopher K.; Ayothi, Ramakrishnan; Lin, Qin; Paik, Marvin; Hexemer, Alexander; Kramer, Edward J.; Fischer, Daniel.  Hydrophobic and hydrophilic fluoropolymers as non-adhesive interfaces in marine biofouling.  Polymer Preprints (American Chemical Society, Division of Polymer Chemistry)  (2005), 46(2), 613-614.


Ober, Christopher K.; You, Fengxiang; Li, Mingqi; Chao, Chiyang; Du, Phong; Wiesner, Ulrich.  Chemical strategies for control of phase structure in block copolymers.  Polymer Preprints (American Chemical Society, Division of Polymer Chemistry)  (2005),  46(2), 538. 


*    Galli, G.; Martinelli, E.; Chiellini, E.; Ober, C. K.; Glisenti, A. "Low Surface Energy Characteristics of Mesophase-Forming ABC and ACB Triblock Copolymers with Fluorinated B Blocks" Molecular Crystals and Liquid Crystals  (2005), 441(1), 211-226.


*    Kim, Kyung-Min; Ayothi, Ramakrishnan; Ober, Christopher K. "Synthesis, Characterization and Lithography Performance of Photoacid Generator with Short Perfluoroalkyl Anion" Polymer Bulletin (Heidelberg, Germany) (2005), 55(5), 333-340.


*    Kousuke Tsuchiya, Seung Wook Chang, Nelson M. Felix, Mitsuru Ueda and Christopher K. Ober, "Lithography Based on Molecular Glasses", J. Photopolym. Sci. Tech., 18 (3): 431-434 2005.


*    Young-Je Kwark, J. Pablo Bravo-Vasquez, Heidi B. Cao, Hai Deng, and Christopher K. Ober, "Silicon Containing Organic-Inorganic Hybrid Materials as EUV Photoresists", J. Photopolym. Sci. Tech., 18 (4): 481-487 2005.


Seung Wook Chang, Da Yang, Junyan Dai, Nelson Felix, Daniel Bratton, Kousuke Tsuchiya, Young-Je Kwark, Juan-Pablo Bravo, Christopher K. Ober, Heidi B. Cao and Hai Deng, "Materials for Future Lithography", Proceedings of SPIE, 5753 1 (2005).


Ober, Christopher K.; Senaratne, Wageesha; Sengupta, Prabuddha; Baird, Barbara.  Patterned structures for study of cell-surface interactions. PMSE Preprints  (2005),  93  252-253.


*    Wageesha Senaratne, Cindy Harnett, Prabuddha Sengupta, Barbara Baird, Harold Craighead, Christopher K. Ober "Molecular Templates for Bio-specific Recognition by Low-Energy Electron Beam Lithography", Nanobiotechnology, 2005, 1(1), 23-34.


*    Tianyue Yu, Qing Wang, Daniel S. Johnson, Michelle D. Wang and Christopher K. Ober, "Functional Hydrogel Surfaces: Binding Kinesin Based Molecular Motor Proteins", Adv. Functional Materials, 2005, 15, 1303-1309.


*    W. Senaratne, Luisa Andruzzi and Christopher K. Ober, "Self-Assembled Monolayers and Polymer Brushes in Biotechnology: Current Applications and Future Perspectives", Biomacromolecules, 2005, 6, 2427-2448.


*    Paik, Hyun-Jong; Kim, Young-Rok; Orth, Reid N.; Ober, Christopher K.; Coates, Geoffrey W.; Batt, Carl A.  End-functionalization of poly(3-hydroxybutyrate) via genetic engineering for solid surface modification.  Chemical Communications (Cambridge, United Kingdom)  (2005), (15), 1956-1958.


    Chang, Seung Wook; Felix, Nelson; Yang, Da; Ramakrishnan, Ayothi; Ober, Christopher K.  Lithography based on calix[4]resorcinarene and related molecular glasses. Polymeric Materials: Science and Engineering  (2005), 92 131-132.


Yang, Da; Dai, Junyan; Li, Mingqi; Ober, Christopher K.  The convergence of top-down and bottom-up nanofabrication: formation of 3D structures. Proceedings of SPIE-The International Society for Optical Engineering  (2005),  5592 (Nanofabrication: Technologies, Devices, and Applications), 12-26.


*    Luisa Andruzzi, Wageesha Senaratne, Alexander Hexemer, Erin D. Sheets, B. Ilic, David Holowka, Edward J. Kramer, Barbara Baird and Christopher K. Ober, "Exploring the Potential of Oligoethylene Glycol Containing Polymer Brushes as Bio-selective Surfaces", Langmuir, 2005, 21, 2495-2504.


2004

Horie K, Baron M, Fox RB, He J, Hess M, Kahovec J, Kitayama T, Kubisa P, Marechal E, Mormann W, Stepto RFT, Tabak D, Vohlidal J, Wilks ES, Work WJ, Allegra G, Baron M, Fradet A, Hatada K, He J, Hess M, Horie K, Jenkins AD, Jin JI, Jones RG, Kahovec J, Kitayama T, Kratochvil P, Kubisa P, Marcechal E, Meisel I, Metanomski WV, Moad G, Mormann W, Penczek S, Rebelo LP, Rinaudo M, Schopov I, Schubert M, Shibaev VP, Slomkowskj S, Stepto RFT, Tabak D, Vohlidal J, Wilks ES, Work WJ, Dorfner K, Fréchet MJ, Harris WI, Hodge P, Nishikubo T, Ober CK, Reichmanis E, Sherrington DC, Tomoi M, Wohrle D "Definitions of terms relating to reactions of polymers and to functional polymeric materials - (IUPAC Recommendations 2003)", Pure And Applied Chemistry 76 (4): 889-906 APR 2004


Goto, Takeshi; Li, Mingqi; Ober, Christopher.  Patternable block copolymers: from synthesis to application.    Uchu Kozo, Zairyo Shinpojumu  (2005),  Volume Date 2004,  20th  1-5.


Galli, G.; Ragnoli, M.; Bertolucci, M.; Ober, C. K.; Kramer, E. J.; Chiellini, E.  Fluorinated 2-vinylcyclopropane copolymers as low surface energy materials.    Macromolecular Symposia  (2004),  218(Current Topics in Polymer Science and Technology),  303-312.


*    C. Coenjarts and C. K. Ober, "Three Dimensional 2-Photon Microfabrication of Silicone Elastomers", Chem. Mater, (Communication); 2004 16(26); 5556-5558.


*    Chinedum Osuji, Paulo J. Ferreira, Guoping Mao, Christopher K. Ober, John B. Vander Sande, Edwin L. Thomas, "Alignment of Self-Assembled Hierarchical Microstructure in Liquid Crystalline Diblock Copolymers Using High Magnetic Fields", Macromolecules, 2004 37(26); 9903-9908.


*    Mao, Yu; Felix, Nelson M.; Nguyen, Peter T.; Ober, Christopher K.; Gleason, Karen K.  Towards all-dry lithography: Electron-beam patternable poly(glycidyl methacrylate) thin films from hot filament chemical vapor deposition. Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena  (2004), 22(5), 2473-2478.


    Young-Je Kwark, J. Pablo Bravo-Vasquez, and Christopher K. Ober, Heidi B. Cao, Hai Deng, and Robert Meagley, "Silicon-based Resists for EUV Lithography", Advances in Imaging and Materials Processes, SPE Proceedings, H. Ito, R. Varanasi, M. Khojasteh and R. Chen, eds., 25 (2004).


V. Jakubek, C. K. Ober, E. Robertson III, T. J. Markely, A. Abdourasak and J. A. Marsella, "Hexafluoro- and Trifluoromethyl Carbinols in 157-nm Photoresists", Advances in Imaging and Materials Processes, SPE Proceedings, H. Ito, R. Varanasi, M. Khojasteh and R. Chen, eds., 71 (2004).


Alyssandrea H. Hamad, Frank Houlihan, Larry Seger, Chun Chang, Christopher K. Ober, "The Role of Small Molecules As Fluorinated Dissolution Inhibitors", Advances in Imaging and Materials Processes, SPE Proceedings, H. Ito, R. Varanasi, M. Khojasteh and R. Chen, eds., 83 (2004).


E. Robertson III, T. J. Markely, A. Abdourasak, V. Jakubek, C. K. Ober, "Transparency and Etch Resistance of Several Polymers Derived from Trifluoroacetone", Advances in Imaging and Materials Processes, SPE Proceedings, H. Ito, R. Varanasi, M. Khojasteh and R. Chen, eds., 101 (2004).


Junyan Dai, Christopher K Ober, Sang Ouk Kim, Paul Nealey, Victoria Golovkina, Jangho Shin, Lin Wang, Franco Cerrina, "Synthesis and Evaluation of Novel Organoelement Resists for EUV Lithography", Advances in Imaging and Materials Processes, SPE Proceedings, H. Ito, R. Varanasi, M. Khojasteh and R. Chen, eds., 109 (2004).


Yu Mao, K.K. Gleason, P.T. Nguyen, N. Felix, C. K. Ober, Chemical Vapor Deposition of Polymeric Thin Films Combined with Supercritical CO2 Development for Dry Lithography", Advances in Imaging and Materials Processes, SPE Proceedings, H. Ito, R. Varanasi, M. Khojasteh and R. Chen, eds., 187 (2004).


Victor Q. Pham, Nelson M. Felix, Vladimir Jakubek, Jessie Mao, Karen Gleason, Christopher K. Ober, "New Photoresists and Processing Methods for Supercritical Carbon Dioxide Development", Advances in Imaging and Materials Processes, SPE Proceedings, H. Ito, R. Varanasi, M. Khojasteh and R. Chen, eds., 281 (2004).


Tianyue Yu, Christopher K. Ober, Stephan M. Kuebler, Wenhui Zhou, Seth R. Marder and Joseph W. Perry, "Three-dimensional Microfabrication in Chemically Amplified Positive Resists by Two-Photon Lithography",  Advances in Imaging and Materials Processes, SPE Proceedings, H. Ito, R. Varanasi, M. Khojasteh and R. Chen, eds., 307 (2004).


*    Birger Lange, Rudolf Zentel, Christopher Ober, Seth Marder, "Photo-processable polymer opals", Chem. Mater., (2004), 16(25),  5286-5292.


*    Sitaraman Krishnan, Young J. Kwark, Christopher K. Ober, "Fluoropolymers: Liquid Crystalline Properties, and Applications in Lithography", Chemical Record, 4(5), 315-330 (2004).


*    Bae, Young C.; Ober, Christopher K.  "Patternable block copolymers with high transparency at 157 nm: Fluorocarbinol functionalized poly(isoprene-b-cyclohexane)."    Polymer Bulletin (Heidelberg, Germany) (2004), 52(5), 321-328.


*    Luisa Andruzzi, Alexander Hexemer, Xuefa Li, Christopher K. Ober, Edward J. Kramer, Giancarlo Galli, Emo Chiellini, Daniel A. Fischer, "Control of Surface Properties Using Fluorinated Polymer Brushes Produced by Surface-Initiated Controlled Radical Polymerization", Langmuir, (2004), 20(24), 10498-10506.


    Rudolf Zentel and Christopher K. Ober, "Tribute to Helmut Ringsdorf", Macromolecules, (2004), 37(23), 8485-8486.


Senaratne, Wageesha; Sengupta, Prabuddha; Jakubek, Vladimir; Baird, Barbara; Ober, Christopher K. "Biomolecular patterned surfaces by electron beam lithography." Polymeric Materials: Science and Engineering (2004), 91 361-362.


    Kuebler, Stephen M.; Braun, Kevin L.; Stellacci, Francesco; Bauer, Christina A.; Halik, Marcus; Zhou, Wenhui; Yu, Tianyue; Ober, Christopher K.; Marder, Seth R.; Perry, Joseph W. "Two-photon 3D lithography: Materials and applications." Polymeric Materials: Science and Engineering (2004), 91 342-343.


    Krishnan, Sitaraman; Ober, Christopher K.; Lee, Kristen L.; Angert, Esther R.; Hexemer, Alexander; Kramer, Edward J. "Antibacterial coatings based on quaternized poly(4-vinylpyridine) block copolymers." Polymeric Materials: Science and Engineering (2004), 91 814-815.


Galli, G.; Andruzzi, L.; Chiellini, E.; Li, X.; Ober, C. K.; Hexemer, A.; Kramer, E. J.  Structural organisation in polystyrene-based semifluorinated block copolymers for low surface energy coatings. Surface Coatings International, Part B: Coatings Transactions (2004), 87(B2), 77-82.


Ober, Christopher K.; Douki, Katsuji; Bae, Young C.; Dai, Junyan; Conley, Will. "The photopolymer science and technology award." Journal of Photopolymer Science and Technology (2004), 17(1), 7-10.


Murakami, Yasuharu; Coenjarts, Christopher A.; Ober, Christopher K. "Preparation and two-photon lithography of a sulfur containing resin with high refractive index." Journal of Photopolymer Science and Technology (2004), 17(1), 115-118.


J. Pablo Bravo-Vasquez, Young-Je Kwark, and Christopher K. Ober, Heidi B. Cao, Hai Deng, and Robert Meagley, "Silicon Backbone Polymers as EUV Resists", SPIE Proceedings, Proceedings of SPIE-The International Society for Optical Engineering  (2004), 5376 (Pt. 2, Advances in Resist Technology and Processing XXI), 739-745.


Vladimir Jakubek, Eric A. Robertson III, Atteye H. Abdourazak, Thomas J. Markley, John A. Marsella, Christopher K. Ober, "Hexafluoroisopropyl and Trifluoromethyl Carbinols in an Acrylate Platform for 157-nm Chemically Amplified Resists", Proceedings of SPIE-The International Society for Optical Engineering  (2004), 5376(Pt. 1, Advances in Resist Technology and Processing XXI), 554-564.


Junyan Dai, Christopher K. Ober, "Novel Resists with Non-traditional Compositions for EUV Lithography", Proceedings of SPIE-The International Society for Optical Engineering  (2004), 5376(Pt. 1, Advances in Resist Technology and Processing XXI), 508-516.


*    Kempe, Michael D.; Kornfield, Julia A.; Ober, Christopher K.; Smith, Steven D.  "Synthesis and Phase Behavior of Side-Group Liquid Crystalline Polymers in Nematic Solvents", Macromolecules (2004), 37(10), 3569-3575. 


*    Z. S. Zhang, O.M. Wilson, M. Y. Efremov, E. A. Olson, M. Zhang, P. V. Braun, C. Ober, W. Senaratne, M. Zhang & L. H. Allen, "Heat Capacity Measurements of Two-Dimensional Self-Assembled Monolayers On Polycrystalline Gold", Appl. Phys. Lett. v84 p5198 (2004).


*    Mingqi Li, Katsuji Douki, Ken Goto, Xuefa Li, Detlef M. Smilgies and Christopher K. Ober, "Spatially Controlled Fabrication of Nanoporous Block Copolymers", Chem. Mater., (2004), 16(20), 3800-3808.


    Chi-Yang Chao, Xuefa Li and Christopher K. Ober, "Directing Self-assembly in Macromolecular Systems: Hydrogen Bonding in Ordered Polymers", Pure Appl. Chem., Vol. 76, Nos. 7-8, pp. 1337-1343, 2004.


*    Victor Q. Pham, Nagesh Rao, Christopher K. Ober, "Swelling and dissolution rate measurements of polymer thin films in supercritical carbon dioxide", J. Supercritical Fluids, (2004), 31(3), 323-328.


*    J. Paik. C. Batt, G. Coates, C. K. Ober, "Enzymatic surface-initiated polymerization: A novel approach for the in situ solid phase synthesis of the biocompatible polymer, poly[3-hydroxybutyrate]", Biomacromolecules, 2004, 5, 889.


*    Phong Du, Xuefa Li, Katsu Doki, Mingqi Li, Sol Gruner, Detlef Smilgies, Uli Wiesner and Christopher Ober, "Phase Selective Chemistry in Block Copolymer Thin Films", Adv. Mater., 16(12), 953 - 957 (2004).


*    B. Ilic, H. G. Craighead, S. Krylov, W. Senaratne, C. Ober and P. Neuzil, "Attogram detection using Nanoelectromechanical Oscillators", J. Appl. Phys., 95, 3694 (2004)


*    Chi-Yang Chao, Xuefa Li, Christopher K. Ober and Edwin L. Thomas, "Orientation in Hydrogen-bonded Side Chain Liquid Crystalline Block Copolymers using Applied AC Electric Fields", Advanced Functional Materials, 14(4), 364 - 370 (2004).


    Kuebler, Stephen M.; Perry, Joseph W.; Marder, Seth R.; Ober, Christopher K.; Braun, Kevin L.; Yu, Tianyue; Zhou, Wenhui. High-sensitivity material systems for two-photon three dimensional microfabrication. Proceedings of SPIE-The International Society for Optical Engineering (2004), 5347 (Micromachining Technology for Micro-Optics and Nano-Optics II), 111-117.


*    Markley, T. J.; Marsella, J. A.; Robertson, E. A., III; Parris, G. E.; Zarkov, Z.; Jakubek, V.; Ober, C. K.  "Wetting and dissolution studies of fluoropolymers used in 157 nm photolithography applications." Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena  (2004), 22(1), 140-145.


*    A. Hexemer, E. Sivaniah, E. J. Kramer, M. Xiang, X. Li and C. K. Ober, "Managing Polymer Surface Structure Using Surface Active Block Copolymers (SABC) in Block Copolymer Mixtures", Journal of Polymer Science Part B: Polymer Physics, 42(3), 2004, 411-420


*    J. - S. Chen, C. K. Ober, M. D. Poliks, Y. Zhang, U. Wiesner, C. Cohen, "Controlled Degradation of Epoxy Networks: Analysis of Crosslink Density and Glass Transition Temperature Changes in Thermally Reworkable Thermosets", Polymer, 2004, 45/6, 1939-195.


    Ober, Christopher K.; Kwark, Young-Je; Bravo-Vasquez, J.-Pablo; Dai, Junyan; Hamad, Alyssandrea, New strategies for lithography at short wavelengths", PMSE Preprints  (2004),  90  22.


2003

    Galli, Giancarlo; Andruzzi, L.; Chiellini, E.; Li, X.; Ober, C. K.; Hexemer, A.; Kramer, E. J.  "Bulk and surface structures of polystyrene-based semifluorinated block copolymers for low surface energy coatings."  Fluorine in Coatings V, Conference Papers, 5th, Orlando, FL, United States, Jan. 21-22, 2003 (2003), Paper 4/B, Paper 4/1-Paper 4/10.


*    Victor Q. Pham, Robert J. Ferris, Alyssandrea Hamad, Christopher K. Ober, "Positive-tone photoresist process for supercritical carbon dioxide development", Chem. Mater., 2003; 15 (26); 4893-4895.


*    Vohra, Vaishali R.; Schmidt, Daniel F.; Ober, Christopher K.; Giannelis, Emmanuel P.  Deintercalation of a chemically switchable polymer from a layered silicate nanocomposite.    Journal of Polymer Science, Part B: Polymer Physics (2003), 41(24), 3151-3159.


Bizzarri, Ranieri; Chiellini, Federica; Ober, Christopher K.; Saltzman, W. Mark; Solaro, Roberto; Chiellini, Emo.  "Malolactonate polymers and copolymers for biomedical applications." Macromolecular Symposia  (2003), 197(7th World Conference on Biodegradable Polymers & Plastics, 2002), 303-314.


Chiellini, Federica; Bizzarri, Ranieri; Ober, Christopher K.; Schmaljiohann, Dirk; Yu, Tianyue; Saltzman, W. Mark; Solaro, Roberto;  Chiellini, Emo.  "Surface patterning and biological evaluation of semi-interpenetrated poly(HEMA)/poly(alkyl-b-malolactonate)s."  Macromolecular Symposia  (2003), 197(7th World Conference on Biodegradable Polymers & Plastics, 2002), 369-379.


Ober, Christopher K.; Senaratne, Wageesha; Andruzzi, Luisa. "Patterned surfaces through polymer brushes." Polymer Preprints (American Chemical Society, Division of Polymer Chemistry)  (2003), 44(2), 228-229.


Thomas, Edwin L.; Osuji, Chinedum; Bita, Ion; Chao, Chi-Yang; Ober, Christopher K. "Self-assembled hydrogen bonded liquid-crystalline diblock copolymers as tunable 1-D photonic crystals", Polymeric Materials Science and Engineering  (2003), 89 86-87.


*    Padma Gopalan, Xuefa Li, Mingqi Li, Christopher K. Ober, Chad P. Gonzales, and Craig J. Hawker, "Rod-Coil Block Copolymers: An Iterative Synthetic Approach via Living Free Radical Procedures", Journal of Polymer Science, Part A: Polymer Chemistry  (2003), 41(22), 3640-3656.


Hamad, Alyssandrea H.; Houlihan, Francis M.; Seger, Larry; Chang, Chun; Ober, Christopher K.  Evaluation of fluorinated dissolution inhibitors for 157-nm lithography.    Proceedings of SPIE-The International Society for Optical Engineering  (2003), 5039(Pt. 1), 558-568.


Vohra, Vaishali R.; Liu, Xiang-Qian; Douki, Katsuji; Ober, Christopher K.; Conley, Will; Zimmerman, Paul; Miller, Daniel. Fluoropolymer resists for 157 nm lithography.    Proceedings of SPIE-The International Society for Optical Engineering  (2003), 5039(Pt. 1), 539-547.


Kwark, Young-Je; Bravo-Vasquez, Juan-Pablo; Ober, Christopher K.; Cao, Heidi B.; Deng, Hai; Meagley, Robert P.  Novel silicon-containing polymers as photoresist materials for EUV lithography.    Proceedings of SPIE-The International Society for Optical Engineering  (2003), 5039 1204-1211. 


Dai, Junyan; Ober, Christopher K.; Kim, Sang-Ouk; Nealey, Paul F.; Golovkina, Victoria; Shin, Jangho; Wang, Lin; Cerrina, Franco. Synthesis and evaluation of novel organoelement resists for EUV lithography. Proceedings of SPIE-The International Society for Optical Engineering  (2003), 5039 1164-1172.


Ober, Christopher K.; Youngblood, Jeffrey P.; Andruzzi, Luisa; Senaratne, Wageesha; Li, Xuefa; Hexemer, Alexander; Kramer, Edward J. "Block copolymers as surface modifiers: Synthesis, characterization and relevance to fouling release and biostability." Polymeric Materials Science and Engineering (2003), 88 612-613. 


Youngblood, Jeffrey P.; Andruzzi, Luisa; Senaratne, Wageesha; Ober, Christopher K.; Callow, Jim A.; Finlay, John A.; Callow, Maureen E. New materials for marine biofouling resistance and release: Semi-fluorinated and PEGylated block copolymer bilayer coatings. Polymeric Materials Science and Engineering (2003), 88 608-609.


Andruzzi, Luisa; Senaratne, Wageesha; Hexemer, Alexander; Ober, Christopher K.; Kramer, Edward J.  PEG-based biostable surfaces by controlled radical polymerization. Polymeric Materials Science and Engineering (2003), 88 604-605. 


Senaratne, Wageesha; Andruzzi, Luisa; Sheets, Erin D.; Holowka, David; Ilic, Bojan; Hexemer, Alexander; Baird, Barbara; Kramer, Edward J.; Ober, Christopher K.  "Exploring the potential of surface grown PEG-polymer brushes for biotechnology applications." Polymeric Materials Science and Engineering (2003), 88 337-338.


*    Tianyue Yu and Christopher K. Ober, "Methods For The Topographical Patterning and Patterned Surface Modification Of Hydrogels Based On Hydroxyethyl Methacrylate", Biomacromolecules, (2003), 4(5), 1126-1131.


*    Christopher K. Ober, Mingqi Li, Katsuji Douki, Ken Goto, Xuefa Li, "Lithographic Patterning with Block Copolymers", J. Photopolym. Sci. Tech., 16(3), 347-350 (2003).


*    V. Jakúbek, X. Liu, V. R. Vohra, K. Douki, Y. Kwark, Christopher K. Ober, T. J. Markley, E. A. Robertson III, R. V. C. Carr, J. A. Marsella, W. Conley, D. Miller, and P. Zimmerman, "Strategies for High Transparency Acrylate Resists for 157 nm Lithography", J. Photopolym. Sci. Tech., 16(4), 573-580 (2003).


*    Stephen M. Kuebler, Kevin L. Braun, Wenhui Zhou, J. Kevin Cammack, Tianyue Yu, Christopher K. Ober, Seth R. Marder, Joseph W. Perry,  "Design and application of high-sensitivity two-photon initiators for three-dimensional microfabrication", Journal of Photochemistry and Photobiology A: Chemistry 158 (2003) 163-170.


*    Jeffrey P. Youngblood, Luisa Andruzzi, Christopher K. Ober, Alexander Hexemer, Edward J. Kramer, James A. Callow,  John A. Finlay and Maureen E. Callow, "Coatings from Side-Chain Ether-Linked Poly(Ethylene Glycol) and Fluorocarbon Polymers For The Control Of Marine Biofouling", Biofouling, 19, 91-98 (2003).


*    Padma Gopalan, Yuanming Zhang, Xuefa Li, Ulrich Weisner, Christopher K. Ober, "Liquid Crystalline Rod-Coil Block Copolymers By Stable Free Radical Polymerization: Synthesis, Morphology and Rheology", Macromolecules, (2003), 36(9), 3357-3364.


*    Hilmar Koerner, Yixia Luo, Xuefa Li, Claude Cohen, Ronald C. Hedden and C. K. Ober, "Structural Studies of Extension-Induced Mesophase Formation in Poly(diethylsiloxane) Elastomers: In Situ Synchrotron WAXS and SAXS", Macromolecules, (2003),  36(6), 1975-1981.


*    Tianyue Yu, Christopher K. Ober, Stephan M. Kuebler, Wenhui Zhou, Seth R. Marder and Joseph W. Perry, "Three-dimensional Microfabrication in a Chemically Amplified Positive System using 2-Photon Lithography", Adv. Mater., 15(6), 2003, 517-521.


2002

*    Weibel, Gina L.; Ober, Christopher K.  "An overview of supercritical CO2 applications in microelectronics processing" Microelectronic Engineering  (2002), 65(1-2), 145-152.


*    Chinedum Osuji, Chi-Yang Chao, Ion Bita, Christopher K. Ober, Edwin L. Thomas, "Temperature Dependent Photonic Band Gap in a Self-Assembled Hydrogen Bonded Liquid Crystalline Diblock Copolymer", Adv. Functional Mater., 12, 753-758 (2002).


    Yu, Tianyue; Chiellini, Federica; Schmaljohan, Dirk; Solaro, Roberto; Ober, Christopher Kemper. Microfabrication of hydrogels for biomedical applications. Proceedings of SPIE-The International Society for Optical Engineering  (2002), 4690  854-860.


    Hamad, Alyssandrea H.; Bae, Young C.; Liu, Xiang-Qian; Ober, Christopher Kemper; Houlihan, Francis M.; Dabbagh, Gary; Novembre, Anthony E. Fluorinated dissolution inhibitors for 157-nm lithography. Proceedings of SPIE-The International Society for Optical Engineering  (2002), 4690  477-485.


    Pham, Victor Quan; Weibel, Gina L.; Rao, Nagesh G.; Ober, Christopher Kemper. Dissolution rate measurements for resist processing in supercritical carbon dioxide. Proceedings of SPIE-The International Society for Optical Engineering (2002), 4690 425-431.


    Vohra, Vaishali Raghu; Douki, Katsuji; Kwark, Young-Je; Liu, Xiang-Qian; Ober, Christopher Kemper; Bae, Young C.; Conley, Will; Miller, Daniel; Zimmerman, Paul. Highly transparent resist platforms for 157-nm microlithography: an update. Proceedings of SPIE-The International Society for Optical Engineering  (2002), 4690  84-93.


    Huang, Wu-Song; Kwong, Ranee W.; Moreau, Wayne M.; Lang, Robert; Medeiros, David R.; Petrillo, Karen E.; Mahorowala, Arpan P.; Angelopoulos, Marie; Lin, Qinghuang; Dai, Junyan; Ober, Christopher Kemper. Applicaton of blends and side chain Si-O copolymers as high-etch-resistant sub-100-nm e-beam resists. Proceedings of SPIE-The International Society for Optical Engineering  (2002),  4690  432-441


    Dai, Junyan; Ober, Christopher Kemper; Wang, Lin; Cerrina, Franco; Nealey, Paul F. Organoelement resists for EUV lithography. Proceedings of SPIE-The International Society for Optical Engineering  (2002), 4690 1193-1202.


*    Xuefa Li, Luisa Andruzzi, E. Chiellini, G. Galli, Christopher K. Ober, Alexander Hexemer, Edward J. Kramer, Daniel A. Fischer, "Semifluorinated Aromatic Side-Group Polystyrene-Based Block Copolymers: Bulk Structure and Surface Orientation Studies", Macromolecules, (2002), 35(21), 8078-8087.


*    J.-S. Chen, M. D. Poliks, C. K. Ober, Y. Zhang, U. Wiesner, E. Giannelis, "Study of the Exfoliation Mechanism and Thermal-mechanical Properties of Surface-initiated Epoxy Nanocomposites", Polymer, (2002), 43(18), 4895-4904.


*    Gopalan, Padma; Andruzzi, Luisa; Li, Xuefa; Ober, Christopher K., "Fluorinated mesogen-jacketed liquid-crystalline polymers as surface-modifying agents: design, synthesis and characterization", Macromolecular Chemistry and Physics (2002), 203(10/11), 1573-1583.


*    Bizzarri, Ranieri; Chiellini, Federica; Ober, Chris K.; Saltzman, W. Mark; Solaro, Roberto, "Influence of structural parameters on the ring-opening polymerization of new alkyl malolactonate monomers and on the biocompatibility of polymers therefrom", Macromolecular Chemistry and Physics (2002), 203(10/11), 1684-1693.


    Victor Q. Pham, Peter T. Nguyen, Gina L. Weibel, Robert J. Ferris, Christopher K. Ober, "Positive-Tone Resist For Supercritical CO2 Processing", Polymer Preprints, (2002), 43(2), 885-886.


    Luisa Andruzzi, Alexander Hexemer, Xuefa Li, Christopher K. Ober, Edward J. Kramer, Giancarlo Galli, Emo Chiellini, "Surface Control Using Polymer Brushes Produced By Controlled Radical Polymerization", Polymer Preprints, (2002), 43(2), 76-77.


    Padma Gopalan, Xuefa Li, Christopher K. Ober, Craig Hawker , "Synthesis Of Rod -Coil Diblock Copolymers Via Nitroxide Functionalized Mesogenic Rod Segments", Polymer Preprints, (2002), 43(2), 110-111.


    Tianyue Yu, Christopher K. Ober, Stephen M. Kuebler, Wenhui Zhou, Seth R. Marder, and Joseph W. Perry, "Two-photon Positive Tone Lithography for Three-dimensional Microfabrication", Polymeric Materials: Science and Engineering (2002), 87 411.


*    L. Andruzzi, E. Chiellini, G. Galli, X. Li, S. Kang, C. K. Ober, "Engineering Low Surface Energy Polymers through Molecular Design: Synthetic Routes to Fluorinated Polystyrene-Based Block Copolymers", J. Mater. Chem., (2002), 12(6), 1684-1692.


    Kim, Young-Rok; Paik, Hyun-Jong; Ober, Christopher K.; Coates, Geoffrey W.; Batt, Carl A.  Enzymatic surface-initiated polymerization of 3-(R)-hydroxybutyryl-coenzyme A: Surface modification of a solid substrate with a biodegradable and biocompatible polymer: poly(3-hydroxybutyrate). Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2002), 43(1), 706-707.


    Kwark, Young-Je; Douki, Katsuji; Vohra, Vaishali; Liu, Xiangqian; Conley, Wil; Zimmermann, Paul; Ober, Christopher K. Tetrafluorophenols: New functional structures for 157 nm lithography. Polymer Preprints (American Chemical Society, Division of Polymer Chemistry)  (2002), 43(1), 480-481.


    Chao, Chiyang; Ober, Christopher K.; Osuji, Chinedum; Thomas, Edwin L.  Hydrogen-bonded side-chain liquid crystalline block copolymers for photonic bandgap materials.    Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2002), 43(1), 13-14.


*    Ober, Christopher K.; Douki, Katsuji; Vohra, Vaishali R.; Kwark, Young-Je; Liu, Xiang-Qian; Conley, Will; Miller, Daniel; Zimmerman, Paul.  New strategies for high resolution photoresists. Journal of Photopolymer Science and Technology (2002), 15(4), 603-611.


*    Wenhui Zhou, Stephen M. Kuebler, Kevin L. Braun, Tianyue Yu, J. Kevin Cammack, Christopher K. Ober, Joseph W. Perry, Seth R. Marder, "An Efficient Two-Photon Photoacid and Its Application to 3D Microfabrication In Positive-Tone Resists", Science, 296, 1106 -1109 (2002).


*    C. K. Ober, "Perspectives: Self-assembly: Persistence Pays Off", Science, 296, 859 (2002).


*    Y. C. Bae*, K. Douki, T. Yu, J. Dai, D. Schmaljohann, H. Koerner, C. K. Ober*, W. Conley, "Tailoring Transparency of Imageable Fluoropolymers at 157 nm by Incorporation of Hexafluoroisopropyl Alcohol to Photoresist Backbones", Chem Mater., (2002), 14(3), 1306-1313.


*    G. Weibel and C. K. Ober, "Processing Polymers in Supercritical CO2", in Encyclopedia of Materials: Science and Technology, K. Buschow, R. W. Cahn, M. C. Flemins, B. Ilschner, E. J. Kramer and S. Mahajan, eds., supplement, Elsevier, New York (2002)


*    Chen, J.-S.; Ober, C. K.; Poliks, M. D.  "Characterization Of Thermally Reworkable Thermosets: Materials For Environmentally Friendly Processing and Reuse" Polymer  (2002), 43(1), 131-139.


2001

    Yu, Tianyue; Ching, Philip; Ober, Christopher K.; Deshpande, Shreeram; Puligadda, Rama.  "Development of a bond contribution model for structure: property correlations in dry etch studies" Proc. SPIE-Int. Soc. Opt. Eng.  (2001), 4345 (Pt. 2, Advances in Resist Technology and Processing XVIII), 945-951. 


*    Chiellini, F.; Bizzarri, R.; Ober, C. K.; Schmaljohann, D.; Yu, T.; Solaro, R.; Chiellini, E. "Patterning Of Polymeric Hydrogels For Biomedical Applications" Macromol. Rapid Commun.  (2001), 22(15), 1284-1287.


    Bae, Y. C.; Ober, C. K.  "Fluorocarbinol-containing acrylic (co)polymers with high transparency at 157 nm." Polym. Prepr. (Am. Chem. Soc., Div. Polym. Chem.)  (2001), 42(2), 403-404.


*    Y. C. Bae, K. Douki, T. Yu, J. Dai, D. Schmaljohann, S. Kang, K. Kim, H. Koerner, W. Conley, D. Miller, R. Balasubramanian, S. Holl, and C. K. Ober, "Rejuvenation of 248 nm Resist Backbones in 157 nm Lithography, J. Photopolym. Sci. Tech. (2001), 14(4), 613-620.


*    H. G. Pryce Lewis, G. L. Weibel, C. K. Ober and K. K. Gleason, "Supercritical carbon dioxide as the development medium for patterning fluorocarbon films", Chem. Vap. Deposition, 7, 195-197 (2001).


*    Kazutake Takada, Padma Gopalan, Christopher K. Ober and Héctor D. Abruña, "Synthesis, Characterization and Redox Reactivity of Novel Quinone Containing Polymers", Chem. Materials, 2001; 13(9); 2928-2932.


*    D. Diaz, J. E. Hudson, G. D. Storrier, H. D Abruña, N. Sundararajan and C. K. Ober, "Lithographic Applications of Redox Probe Microscopy", Langmuir  (2001), 17(19), 5932-5938.


*    P. Gopalan and C. K. Ober, "Highly Reactive 2,5-Disubstituted Styrene Based Monomer Polymerized via Stable Free Radical Polymerization: Effect of Substitution and Liquid Crystallinity on Polymerization", Macromolecules (2001), 34(15),  5120-5124.


*    E. Sivaniah, J. Genzer, G. H. Fredrickson, E. J. Kramer, M. Xiang, X. Li, C. Ober and S. Maganov, "Periodic Surface Topology of Three-Arm Semifluorinated Alkane Monodendron Diblock Copolymers", Langmuir, (2001), 17(14), 4342-4346.


    C. K. Ober, "Tribute to Robert W. Lenz", Macromolecules, 34(14) 4667 (2001).


*    T. Koga and S. Zhou, B. Chu, J. L. Fulton, S. Yang, C. K. Ober and B. Erman, "New High Pressure Cell for Simultaneous Synchrotron Small-Angle X-ray Scattering and Laser Light Scattering Measurements", Rev. Sci. Instrum., 72(6), 2679-2685 (2001).


*    C. K. Ober and G. Mao, "Liquid Crystalline Block Copolymers", in Encyclopedia of Materials: Science and Technology, K. Buschow, R. W. Cahn, M. C. Flemins, B. Ilschner, E. J. Kramer and S. Mahajan, eds, T. Lodge volume editor, Elsevier, New York (2001).


*    R. H. Colby, L. M. Nentwich, S.R. Clingman and C. K. Ober, "Defect-mediated Creep Of Structured Materials", Europhys. Lett., 54(2), 269 -274 (2001)


    Xuefa Li, Yushi Ando, Christopher K. Ober, Easan Sivaniah, Edward J. Kramer, and Dan Fisher, "Surface Self-Assembly in Side Chain Modified Block Copolymers", PMSE Preprints, 84 870 (2001).


    M. Li, X. Li and C. K. Ober, "Conducting Block Copolymers with Novel Architectures: Synthesis and Characterization", PMSE Preprints, 84 715 (2001).


    Doris U. Pospiech, Dieter E. Jehnichen, Antje Gottwald, Liane Häussler, Ulrich Scheler, Peter Friedel, Wolfram Kollig, Christopher K. Ober, Xuefa Li, Alexander Hexemer, Edward J. Kramer, Daniel A. Fischer, "Investigation Of The Microphase Separation In Semifluorinated Polyesters", PMSE Preprints, 84 314 (2001).


    Seok Ho Kang, Maoliang Xiang, Xuefa Li, Federica Chiellini, Christopher K. Ober, Edward J. Kramer, "Surface Active Block Copolymers  (SABC): Biofouling Resistant Coatings From Chemically Modified Polymers", PMSE Preprints, 84 14 (2001).


    Victor Q. Pham, Gina L. Weibel, Alyssandrea H. Hamad and Christopher K. Ober, "Processing Fluorinated Photoresists In Supercritical CO2: Environmentally Responsible Processes For The Computer Industry", PMSE Preprints, 84 49 (2001).


2000

*    Osuji, C. O.; Chen, J. T.; Mao, G.; Ober, C. K.; Thomas, E. L.  "Understanding and Controlling the Morphology of Styrene-Isoprene Side-Group Liquid Crystalline Diblock Copolymers", Polymer (2000), 41(25), 8897-8907.


*    Moriya, K.; Seki, T.; Nakagawa, M.; Mao, G.; Ober, C. K.  "Photochromism of 4-Cyanophenylazobenzene in Liquid Crystalline-Coil AB Diblock Copolymers: The Influence of Microstructure." Macromol. Rapid Commun. (2000), 21(18), 1309-1312.


    Schmaljohann, D.; Bae, Y.; Weibel, G. L.; Hamad, A. H.; Ober, C. K.  "Design Strategies For 157-Nm Single-Layer Photoresists: Lithographic Evaluation Of A Poly(A-Trifluoromethyl Vinyl Alcohol) Copolymer." Proc. SPIE-Int. Soc. Opt. Eng.  (2000), 3999 (Pt. 1, Advances in Resist Technology and Processing XVII), 330-334.


*    T. Hayakawa, J. Wang, N. Sundararajan, M. Xiang, X. Li, B. Glusen, G. C. Leung, M. Ueda and C. K. Ober, "Photoswitching Surfaces: New Photopatternable, Self-Organizing Fluoropolymers Containing Acid Labile Semifluorinated Groups", J. Phys. Org. Chem. 2000; 13: 787-795.


*    T. Hayakawa, J. Wang, M. Xiang, X. Li, M. Ueda, C. K. Ober, J. Genzer, E. Sivaniah, E. J. Kramer, D. A. Fischer, "The Effect of Changing Molecular End Groups on Surface Properties: Synthesis and Characterization of Poly(Styrene-b-Semifluorinated Isoprene) Block Copolymers with -CF2H End Groups", Macromolecules, (2000) 33(21),  8012-8019.


*    J. Genzer, E. Sivaniah, E. J. Kramer, J. Wang, M. Xiang, K. Char, C. K. Ober, R. A. Bubeck, D. A. Fischer, M. Graupe, R. Colorado, Jr., O. E. Shmakova and T. R. Lee, "Molecular orientation of single and 2-armed monodendron semifluorinated chains on "soft" and "hard" surfaces studied using NEXAFS", Macromolecules, 33(16) 6068-6077 (2000).


*    M. Xiang, X. Li, C. K. Ober, K. Char, J. Genzer, E. Sivaniah, E. J. Kramer, D. A. Fischer, "Surface Stability in Liquid-Crystalline Block Copolymers with Semifluorinated Monodendron Side Groups", Macromolecules, 33(16) 6106-6119 (2000).


*    Bunning, T. J.; Adams, W.; Ober, C. K.; Korner, H.  "Synchrotron Radiation For Probing the Electric Field Alignment of LC Macromolecules and Polymers." Int. J. Polym. Mater.  (2000), 45(3-4), 451-501.


    Y. C. Bae, J. Dai, G. L. Weibel and C. K. Ober, "Imageable Polymers Using Fluorocarbinol Containing Polydienes", Polymer Preprints 2000, 41(2), 1586.


    X. Li, M. Xiang, C. K. Ober, E. Sivaniah, E. J. Kramer, J. Genzer and D. Fisher, "Surface and Interface Behavior of Semifluorinated Liquid Crystalline Block Copolymers", PMSE Preprints, 83 428 (2000).


    P. Gopolan, X. Li, C. K. Ober and C. Hawker, "Stable Free Radical Polymerization of Liquid Crystalline Monomers: Effect of Preordering", PMSE Preprints 2000, 83, 430.


    D. Schmaljohann and C. K. Ober, "Investigation on the Copolymerization Behavior of a Trifluoromethyl vinylacetate", PMSE Preprints 2000, 83, 434.


    D. Schmaljohann, A. H. Hamad, G. L. Weibel and C. K. Ober, "Fluorinated Polyvinylalcohols as a Photoresists for 157 nm Lithography", PMSE Preprints 2000, 83, 445.


    T. Yu, F. Chiellini, D. Schmaljohann, R. Solaro and C. K. Ober, "Microfabrication Of Hydrogels As Polymer Scaffolds For Tissue Engineering Applications", Polymer Preprints 2000, 41(2), 1699.


    J. S. Chen, C. K. Ober, and M. D. Poliks, "Reworkable Thermosets: Enabling Disassembly of Microelectronic Components", Polymer Preprints 2000, 41(2), 1842


    G. L. Weibel, H. G. Pryce Lewis, K. K. Gleason  and C. K. Ober, "Patternable Fluorocarbon Low-? Dielectrics  Developed Using Supercritical CO2", Polymer Preprints 2000, 41(2), 1838.


    Seok Ho Kang, C. K. Ober and E. J. Kramer, "Synthesis And Characterization Of Diblock Copolymers Containing Surface Modifying Moieties For Non-Biofouling Materials", Polymer Preprints 2000, 41(2), 1521.


*    P. Friedel, D. Pospiech, D. Jehnichen, J. Bergmann, C. K. Ober, "Polyesters with Semifluorinated Side Chains: A Proposal for the Solid-State Structure", Journal of Polymer Science: Part B: Polymer Physics, 38, 1617-1625 (2000)

 

    D. Schmaljohann, Y. Bae, J. Dai, G. L. Weibel, A. H. Hamad, and C. K. Ober, "Fundamental Studies of Fluoropolymer Photoresists for 157 nm Lithography", J. Photopolym. Sci. Technol.  (2000), 13(3), 451-458.


    Q. Lin, M. Angelopoulos, K. Babich, D. Medeiros, N. Sundararajan, G. Weibel, C. Ober, "Diffusion And Distribution Of Photoacid Generators In Thin Polymer Films", Mater. Res. Soc. Symp. Proc.  (2000), 584 (Materials Issues and Modeling for Device Nanofabrication), 155-162.


*    C. K. Ober, "Science Perspective: Shape Persistence of Synthetic Polymers", Science, 2000 April 21; 288: 448-449.


*    Jeyaprakash, J. D.; Samuel, S.; Dhamodharan, R.; Ober, Christopher K.  "A solvent-free method for the synthesis of block copolymers with fluorinated pendant groups by a hydrosilylation reaction."   J. Polym. Sci., Part A: Polym. Chem.  (2000),  38(7),  1179-1183.


    J. S. Chen, C. K. Ober, and M. D. Poliks, "Reworkable Thermosets: the Decomposition Mechanism and Development Network Breakdown of Epoxies with Tertiary Ester Links", Polym. Mater. Sci. Eng.  (2000),  82  357-358.


*    Boeker, Alexander; Reihs, Karsten; Wang, Jianguo; Stadler, Reimund; Ober, Christopher K. "Selectively Thermally Cleavable Fluorinated Side Chain Block Copolymers: Surface Chemistry and Surface Properties", Macromolecules (2000), 33(4), 1310-1320.


*    Genzer, Jan; Sivaniah, Easan; Kramer, Edward J.; Wang, Jianguo; Koerner, Hilmar; Xiang, Maoliang; Char, Kookheon; Ober, Christopher K.; DeKoven, Benjamin M.; Bubeck, Robert A.; Chaudhury, Manoj K.; Sambasivan, Sharadha; Fischer, Daniel A.  "The Orientation of Semifluorinated Alkanes Attached to Polymers at the Surface of Polymer Films." Macromolecules  (2000),  33(5),  1882-1887.


*    Genzer, Jan; Sivaniah, Easan; Kramer, Edward J.; Wang, Jianguo; Koerner, Hilmar; Char, Kookheon; Ober, Christopher K.; DeKoven, Benjamin M.; Bubeck, Robert A.; Fischer, Daniel A.; Sambasivan, Sharadha.  "Temperature Dependence of Molecular Orientation on the Surfaces of Semifluorinated Polymer Thin Films."  Langmuir  (2000), 16(4),  1993-1997.


*    C. Ortiz, L. Belenky, C. K. Ober and E. J. Kramer, "Microdeformation of a Polydomain, Smectic Liquid Crystalline Thermoset", J. Mater. Sci., (2000), 35(8),  2079-2086.


*    Dierking, I.; Glusen, B.; Lagerwall, S. T.; Ober, C. K.  "Synchrotron X-Ray Study of the Smectic Layer Directional Instability."  Phys. Rev. E: Stat. Phys., Plasmas, Fluids, Relat. Interdiscip. Top.  (2000),  61(2),  1593-1598.


*    S. Yang, J. Wang, K. Ogino, S. Valiyaveettil and C. K. Ober, "Low Surface Energy Fluoromethacrylate Block Copolymers with Patternable Elements", Chem. Mater., 2000, 12, 33-40.


*    N. Sundararajan, S. Yang, J. Wang, K. Ogino, S. Valiyaveettil, C. K. Ober, S. K. Obendorf and R. D. Allen, "Supercritical CO2 Processing for Sub-micron Imaging of Fluoropolymers", Chem. Mater., 2000, 12, 41-48.


1999

*    M. C. Bignozzi, C. K. Ober and M. Laus, "LC Side Chain-Coil Diblock Copolymers By Living Free Radical Polymerization", Macromol. Rapid Commun., (1999), 20(12),  622-627.


*    Osuji, Chinedum; Zhang, Yuanming; Mao, Guoping; Ober, Christopher K.; Thomas, Edwin L.  "Transverse Cylindrical Microdomain Orientation in an LC Diblock Copolymer under Oscillatory Shear." Macromolecules (1999), 32(22), 7703-7706.


*    S. Pragliola, C. K. Ober, P. Mathers, H. Jeon, "Mesogen-Jacketed Liquid Crystalline Polymers via Stable Free Radical Polymerization", Macromol.Chem.Phys., (1999), 200(10),  2338-2344.


    Opitz, Juliann; Allen, Robert D.; Breyta, Gregory; Hofer, Donald C.; Sundararajan, Narayan; Ober, Christopher Kemper. "Polymer-platform-dependent characteristics of 193-nm photoresists."    Proc. SPIE-Int. Soc. Opt. Eng.  (1999), 3678(Pt. 2, Advances in Resist Technology and Processing XVI), 1096-1105.


    Sundararajan, Narayan; Ogino, Kenji; Valiyaveettil, Suresh; Wang, Jianguo; Yang, Shu; Kameyama, Atsushi; Ober, Christopher Kemper; Allen, Robert D.; Byers, Jeffrey D.  "Block copolymers as additives: a route to enhanced resist performance." Proc. SPIE-Int. Soc. Opt. Eng.  (1999), 3678(Pt. 1, Advances in Resist Technology and Processing XVI),  78-85.


*    M. C. Bignozzi, C. K. Ober, A. J. Novembre and C. Knurek, "Lithographic Results of Electron Beam Photoresists Prepared by Living Free Radical Polymerization", Polym. Bulletin, 43, 93-100 (1999).


    C. K. Ober, Chi-Yang Chao, M. Li and X. Li, "Balancing Liquid Crystallinity With Microphase Separation In Block Copolymers", Proc. ACS Div. Polym.Chem., 40(2), 482 (1999).


    M.D. Kempe, W. Zhou, J. Kornfield, C. K. Ober and S. Wu, "Nematic Solutions of Large Molecular Weight Side-Group Liquid Crystal Polymers", Proc. ACS Div. Polym.Chem., 40(2), 488 (1999).


    G. Barclay, N. Sundararajan, G. Xu, Z. Mao, C. Paddock, and C. K. Ober, "The Spatial Distribution of Photoacid Generators in Photoresist Matrices", Proc. ACS Div. Polym. Mat.: Sci. & Eng., 81, 56 (1999)


    C. K. Ober, N. Sundararajan, S. Yang, R. D. Allen, K. Ogino, A. Kameyama, and T. Mates, "Improving Resist Performance with Block Copolymer Additives", Proc. ACS Div. Polym. Mat.: Sci. & Eng., 81, 49 (1999)


    S. Yang, C. K. Ober, "Stable, Low Surface Energy Fluoromethacrylate Block Copolymers With Lithographic Segments", Proc. ACS Div. Polym. Mat.: Sci. & Eng., 81, 481 (1999)


    P. Gopalan, S. Pragliola, C. K. Ober, P. T. Mather, H. G. Jeon, "Mesogen-Jacketed Liquid Crystalline Polymers Via Stable Free Radical Polymerization", Proc. ACS Div. Polym.Chem., 40(2), 372 (1999).


    C. K. Ober, M. Xiang, K. Char, J. Genzer, E. Sivaniah, E. J. Kramer, and D. Fischer, "Block Copolymers with Low Surface Energy, Liquid Crystalline Segments: The Interplay of Surface and Bulk Liquid Crystallinity", Proc. ACS Div. Polym.Chem., 40(2), 976 (1999).


*    N. Sundararajan, C. F. Keimel, N. Bhargava, C. K. Ober, J. Opitz, R. D. Allen, G. Barclay, G. Xu, "Ion Beam Analysis in Lithography: Diffusion and Distribution Studies of Photoacid Generators" J. Photopolym. Sci & Tech., 12(3) (1999) 457-468.


    Osuji, Chinedum O.; Chen, John T.; Mao, Guoping; Ober, Christopher K.; Thomas, Edwin L.  "Structure development in side group liquid crystalline diblock copolymers." Mol. Interact. Time-Space Organ. Macromol. Syst., Proc. OUMS '98  (1999), 9-28.


    L. Crane; A. Torres-Filho; C. K. Ober; S. Yang; J. Chen; R. W. Johnson. "Development of reworkable underfills,materials, reliability and processing."  Int. Conf. Adhes. Joining Coat. Technol. Electron. Manuf., Proc. Adhes. '98, 3rd (1998), 262-265. Editor(s): Constable, James H.  Publisher: Institute of Electrical and Electronics Engineers, New York, N. Y.


    Crane, Lawrence; Torres-Filho, Afranio; Ober, Christopher K.; Yang, Shu; Chen, Jir-Shyr; Johnson, R. Wayne.  "Development of reworkable underfills, materials, reliability and processing." IEEE Trans. Compon., Packag. Technol.  (1999),  22(2), 163-167.


    S. Yang, J. Wang, K. Ogino,N. Sundararajan, C. K. Ober, "Synthesis And Characterization Of Micropatternable Low Surface Energy Block Copolymers", Proc. ACS Div. Polym.Chem., 40(1), 100 (1999).


    C. K. Ober, M. Xiang, K. Char, J. Genzer, E. Sivaniah, E. J. Kramer, and D. Fisher, "Low Surface Energy Materials:  Liquid-Crystalline Block Copolymers with Semifluorinated Monodendron Side Groups", Proc. ACS Div. Polym. Mat.: Sci. & Eng., 80, 416 (1999)


    T. Hayakawa, J. Wang, N. Sundararajan, M. Xiang, X. Li, B. Glusen, M. Ueda and C. K. Ober, "New Photopatternable, Self-Organizing Materials for Tailored Surfaces", Proc. ACS Div. Polym. Mat.: Sci. & Eng., 80, 486 (1999)


*    J. Wang and C. K. Ober, "Solid-State Crystalline and Liquid Crystalline Structure of Semifluorinated 1-Bromoalkane Compounds", Liq. Cryst., 26(5), 637-648 (1999).


*    S. R. Clingman, G. Mao, C. K. Ober, R. H. Colby, M. Brehmer, R. Zentel, M. Bignozzi, M. Laus, A. Angeloni, and J. R. Gillmor, "Effect of Polymer Architecture on Self-diffusion of LC Polymer", J. Polym. Sci.: Phys. Ed., 37(5), 405-414 (1999).


1998

*    P. Schofield, C. Cohen, C. K. Ober, "Synthesis and Mechanical Properties of Semi-Flexible Polymer Network", Polym. Gels and Networks, 6, 291 (1998).


*    A. Merenga, S. V. Shilov, F. Kremer, G. Mao, C. K. Ober, M. Brehmer, "Molecular Orientation And Dynamics In Ferroelectric Diblock Copolymers Monitored By FT-IR Spectroscopy", Macromolecules, 31, 9008-9012 (1998).


*    Hupcey, M. A. Z., Ober, Christopher K. "Copolymer Approach To Charge-Dissipating Electron Beam Resists", J. Vac. Sci. Technol., B(1998), 16(6), 3701-3704.


*    Genzer, J.; Sivaniah, E.; Kramer, E. J.; Wang, J.; Korner, H.; Xiang, M.-L.; Yang, S.; Ober, C. K.; Char, K.; Chaudhury, M. K.; Dekoven, M.; Bubeck, R. A.; Fischer, D. A.; Sambasivan, S., "Surfaces of semi-fluorinated block copolymers studied using NEXAFS." Mater. Res. Soc. Symp. Proc. (1998), 524 (Applications of Synchrotron Radiation Techniques to Materials Science IV), 365-370.


*    K. Ogino, J.-S. Chen and C. K. Ober, "Synthesis and Characterization of Thermally Degradable Polymer Networks", Chem. Mater., 1998, 10(12), 3833-3838.


*    C. Ortiz, M. Wagner, N. Bhargava, C. K. Ober and E. J. Kramer, "Deformation of a Polydomain, Smectic Liquid Crystalline Elastomer", Macromolecules, 38, 8531-8539 (1998).


*    M. D. Dadmun, S. R. Clingman, C. K. Ober and A. I. Nakatani, "The Flow Induced Structure in a Thermotropic Liquid Crystalline Polymer as Studied by SANS", J. Polym. Sci: Polym. Phys. Ed., 36(17), 3017 (1998).


    Hupcey, Maggie A. Z.; Angelopoulos, Marie; Gelorme, Jeffrey D.; Ober, Christopher K. "Hydroxyethyl Substituted Polyanilines: Chemistry And Applications As Resists." Annu. Tech. Conf. - Soc. Plast. Eng. (1998), 56th(Vol. 2), 1355-1358.


    Hupcey, Maggie A. Z.; Angelopoulos, Marie; Gelorme, Jeffrey D.; Ober, Christopher K., "Conducting Electron Beam Resists Based On Polyaniline". Proc. SPIE-Int. Soc. Opt. Eng. (1998), 3331(Emerging Lithographic Technologies II), 369-374.


    J. A. Kornfield, B. Hirani, M. Kempe, C. Ober, Y.-U. Kim and Y.-C. Chao, "Synthesis and Dynamics of Side-Group Liquid Crystalline Polymers", Proc. ACS Div. Polym. Chem., 39(2), 1020 (1998).


    M. Xiang, S. Yang and C. K. Ober, "Semifluorinated Groups as Building Blocks for Ordered Polymers", Proc. ACS Div. Polym.Chem., 39(2), 974 (1998).


    N. Sundararajan, S. Valiyaveettil, K. Ogino, X. Zhou, J. Wang, S. Yang and C. K. Ober, "Block Copolymers as Supercritical CO2 Developable Photoresists", Proc. ACS Div. Polym. Mat.: Sci. & Eng., 79, 130 (1998).


    Pospiech, D. U.; Jehnichen, D.; Haeussler, L.; Voigt, D.; Grundke, K.; Ober, C. K.; Wang, J.; Koerner, H.  Semifluorinated polyesters with low surface energy. Polym. Prepr. (ACS Div. Polym. Chem.)  (1998), 39(2), 882-883


*    A. Shiota, H. Korner and C. K. Ober, "Twin Nematic Phenylbenzoates in AC Electric Fields", Liquid Crystals, (1998), 25(2), 199-206.


*    J. Gunther, E. L. Thomas, S. Clingman and C. K. Ober, "Curvature Driven Relaxation of Disclination Loops in Liquid Crystals," Polymer 39, 4497-4504 (1998).


*    C. Ortiz, R. Kim, E. Rodighiero, C. K. Ober and E. J. Kramer, "Deformation of a Polydomain, Liquid Crystalline Epoxy-Based Thermoset", Macromolecules (1998), 31(13), 4074-4088.


*    C. Ortiz, C. K. Ober and E. J. Kramer, "Stress Relaxation of a Main-chain, Smectic, Polydomain Liquid Crystalline Elastomer", Polymer, (1998), 39(16), 3713-3718.


*    S. Perutz, J. Wang, E. J. Kramer*, C. K. Ober* and K. Ellis, "Synthesis and Surface Energy Measurement of Semi-Fluorinated, Low-Energy Surfaces", Macromolecules, (1998), 31(13), 4272-4276.


*    S. Yang, J. Chen, H. Korner, T. Breiner, C. K. Ober and M. Poliks , "Reworkable Epoxies:  Thermosets With Thermally Cleavable Groups for Controlled Network Breakdown", Chem. Mater. (1998), 10(6), 1475-1482.


*    G. Mao, J. Wang, C. K. Ober, M. Brehmer, E.L. Thomas and M. J. O’Rourke, "Microphase-Stabilized Ferroelectric Liquid Crystals (MSFLC): Bistable Switching of Ferroelectric Liquid Crystal-Coil Diblock Copolymers", Chem. Mater. (1998), 10(6), 1538-1545.


    Ober, Christopher. Review of Handbook of Liquid Crystal Research. Edited by Peter J. Collings (Swarthmore College) and Jay S. Patel (Pennsylvania State University). J. Am. Chem. Soc. (1998), 120(11), 2693-2694.


    C. K. Ober, K. Ogino, J. Wang, S. Valiyaveettil and N. Sundararajan, "Tailoring Polymer Thin Film Properties By Balancing Selected Molecular Interactions", Proc. ACS Div. Polym. Chem., 39(1), 727 (1998)


    M. Abdallah, H. Skupin, J. Prigann, F. Kremer, S. V. Shilov, G. Mao, C. K. Ober, M. Brehmer, "Structure and Mobility in Ferroelectric Liquid Crystalline Diblock Copolymers", Proceedings Freiburger Arbeitstagung Flussigkristalle, 41, 1998.


*    G. Mao and C. K. Ober, "Block Copolymers Containing Liquid Crystalline Segments - An Overview", Handbook of Liquid Crystals Vol. 3, D. Demus, J. Goodby, G.W. Gray, H.-W. Spieß , V. Vill, eds., pgs. 66-92, Wiley-VCH, Weinheim  1998, invited chapter.


*    A. Shiota and C. K. Ober, "Smectic Networks Obtained from Twin LC Epoxy Monomer:  Mechanical Deformation of Smectic Networks", J. Polym. Sci.: Polym. Phys. Ed., 36, 31-38 (1998).


1997

    N. Sundararajan, J. Wang, K. Ogino, A. Kameyama, G. Mao, S. Valiyaveettil, C. K. Ober and R. D. Allen, "Block Copolymers as both Photoresists and Additives for 193 nm Imaging", Proceedings of the 11th International SPE Conference on Photopolymers, McAfee, NJ, 59-69 (1997).


    Kameyama, A.; Ober, C. K.  "Synthesis and self-assembly of block-type polyelectrolytes", Kanagawa Daigaku Kogaku Kenkyusho Shoho (1997), 20, 111-114.


    Ortiz, C.; Kim, R.; Rodeghiero, E.; Kramer, E. J.; Ober, C. K.  "Deformation and fracture of a polydomain liquid crystalline epoxy-based thermoset."  Deform., Yield Fract. Polym., Int. Conf., 10th (1997), 125-128.


*    R. Colby, C. K. Ober, G. Galli and M. Laus, "The Rheology of Smectic Mesophases", Rheol. Acta, 36: (5) 498-504 1997.


*    J. Wang and C. K. Ober, "Self-Organizing Materials with Low Surface Energy - Synthesis and Solid State Properties of Semifluorinated Side Chain Ionenes", Macromolecules, 30, 7560-7567 (1997).


*    A. Shiota and C. K. Ober, "Rigid Rod and Liquid Crystalline Thermosets", Prog. Polym. Sci., 22 (5), 975-1000 (1997).


*     A. Shiota and C. K. Ober, "Analysis of Smectic Structure Formation in Liquid Crystalline Thermosets", Polymer, 38(23), 5857-5867 (1997).


*    Dai, Chi-An; Osuji, Chinedum O.; Jandt, Klaus D.; Dair, Benita J.; Ober, Christopher K.; Kramer, Edward J.; Hui, Chung-Yuen, "Effect of the monomer ratio on the strengthening of polymer phase boundaries by random copolymers", Macromolecules, 30 (22), 1997, 6727-6736


*    A. Shiota, H. Korner and C. K. Ober, "Liquid Crystalline Networks from 1,4-Benzenedicarboxylic acid bis(4-cyanatomethylphenyl) esters", Macromol. Chem. Phys., 198, 2957-2970 (1997).


*    M. Muthukumar, C. K. Ober and E. L. Thomas, "Competing Molecular Interactions and the Formation of Ordered Structures on Different Length Scales in Polymers", Science, 277, 1225-1232 (1997).


*    G. Mao and C. K. Ober, "Block Copolymers Containing Liquid Crystalline Segments - An Overview", Acta Polymerica, 1997, 50, 405-422.


*    C. K. Ober, A. H. Gabor, P. Wetmore and R.D. Allen, "Processing Imageable Polymers with Supercritical Carbon Dioxide", Adv. Mater., 9, 1039-1043 (1997).


*    D. Barber, C. R. Pollock, L. L. Beecroft and C.K. Ober, "Amplification by Optical Composites", Optics Letters, 22(16), 1247 (1997).


    M.A.Z. Hupcey, C. K. Ober, "Positive-Tone Conducting E-Beam Resists", Proc. SPIE-Int. Soc. Opt. Eng., 3048 (Emerging Lithographic Technologies), 1997, pp. 100-104


    S. Yang, J.-S. Chen, H. Korner, T. Breiner and C. K. Ober, "Design and Characterization of a New Reworkable Epoxy using Solvent Free, Thermally Induced Network Breakdown",   Proc. ACS Div. Polym. Chem., 38(2), 440 (1997).


    J. Wang, N. Sundararajan and C. K. Ober, "Using Block Copolymer As Adhesion Promoters in Photoresists", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 77, 443 (1997).


    T. J. Bunning, W. W. Adams, V. V. Tsukruk, H. Korner and C. K. Ober, X-ray Scattering and Molecular Associations of Cyclic Siloxane-based Liquid Crystalline Macromolecules, Proc. ACS Div. Polym. Chem., 38(2), 81  (1997).


*    C. K. Ober, J. G. Wang and G. P. Mao,  "Order within Order: Studies of Semifluorinated Block Copolymers", Macromolecular Symposia, 118, 701-706 (1997).


*    H. Korner, A. Shiota, C. K. Ober and M. Laus, "Mixtures of Liquid Crystalline and Amorphous Dicyanates: Unusual Curing Behavior and Mechanical Properties", Chem. Mater., 1997, 9, 1588-1597.


*    A. Shiota and C. K. Ober, "Orientation of Liquid Crystalline Epoxies in AC Electric Fields", Macromolecules, 30, 4278-4287 (1997).


*    C. K. Ober, J. Wang, G. Mao, E. J. Kramer and E. L. Thomas, "Simultaneous Organization on Different Length Scales in Liquid Crystalline Block Copolymers", Macromolecular Symposia, 117, 141-152 (1997).


*    M. Brehmer, G. Mao, C. K. Ober*, R. Zentel*, "Ferroelectric Block Copolymers", Macromolecular Symposia, 117, 175-181 (1997).


*    E. L. Thomas, J. T. Chen, M. J. O’Rourke, C. K. Ober and G. Mao, "Influence of a Liquid Crystalline Block on Microdomain Structure", Macromolecular Symposia, 117, 241-256 (1997).


*    L. L. Beecroft, N.A. Johnen and C.K. Ober, "Covalently Linked, Transparent Silica-Poly(imide) Hybrid Materials", Polym. Adv. Tech., 8, 289-296 (1997).


*    L. L. Beecroft and C. K. Ober, "Advanced Nanocomposite Materials for Optical Applications", Chem. Mater., 9, 1302-1317 (1997).


*    G. Mao, J. Wang, S. R. Clingman, C. K .Ober, E. L. Thomas and J. T. Chen, "Molecular Design, Synthesis and Characterization of Liquid Crystal-Coil Diblock Copolymers with Azobenzene Side Groups", Macromolecules, 30, 2556-2567 (1997).


*    Jianguo Wang, Guoping Mao, C. K. Ober, and E. J. Kramer, "Liquid Crystalline, Semifluorinated Side Group Block Copolymers with Stable Low Energy Surfaces — Synthesis, LC Structure and Critical Surface Tension", Macromolecules, 30, 1906-1914 (1997).


    G. Mao, J. Wang, C. K. Ober, M. J. O’Rourke, E. L. Thomas, M. Brehmer and R. Zentel, "Microphase stabilized ferroelectric liquid crystal (MSFLC):  Bistable switching of FLC-coil diblock copolymers", Polymer Preprints: Proc. ACS Div. Polym. Chem.38(1), 374 (1997)


    J. Wang, G. Mao, C. K. Ober and E. J. Kramer, "Self-Assembly of Fluorinated LC Block Copolymers with a Stable Low Energy Surface", Polymer Preprints: Proc. ACS Div. Polym. Chem.38(1), 953 (1997)


    C. K. Ober, M. A. Z. Hupcey and A. H. Gabor, "New Methacrylate Block and Random Copolymers For Submicron Lithographic Imaging", Polymer Preprints: Proc. ACS Div. Polym. Chem.38(1), 477 (1997)


*    L. L. Beecroft and C.K .Ober, "Polymers with Tailored Refractive Index for Optical Applications", JMS - Pure Appl. Chem., A34(4), 437-50 (1997).


*    C. K. Ober and G. Wegner, "Polyelectrolyte-Surfactant Complexes in the Solid-State:  Facile Building Blocks for Self-Organizing Materials", Adv. Mater., 9, 17-31 (1997).


1996

*    L. L. Beecroft, R. T. Leidner, C. K. Ober, D. B. Barber, and C. R. Pollock, Better Ceramics  Through Chemistry VII, Eds. G.L. Wilkes, D.W. Schaefer, C. Sanchez and B. Coltrain,  Mat. Res. Soc. Symp. Proc., 1996, 435, p. 575-582.


*    T. J. Bunning, H. Korner, V. V. Tsukruk, C. M. McHugh, C. K. Ober and W. W. Adams, "Structural Characterization of Biphenyl Ester-Based LC Molecules:  Peculiarities of Cyclic Siloxane-Based Materials", Macromolecules, 29, 8717-8725 (1996).


*    V. V Tsukruk, T. J. Bunning, H. Korner, C. K. Ober, W. W. Adams, "Molecular Association in Nematic Phases of Cyclic Liquid Crystal Oligomers", Macromolecules, 29, 8706-8716 (1996).


*    H. Korner, A. Shiota and C. K. Ober, "The Processing Of LC Thermosets In Orienting External Fields", MRS Proceedings, Liquid Crystals for Advanced Technologies, T. J. Bunning, S. H .Chen, W. Hawthorne, T. Kajiyama and N. Koide, ed.  MRS Symposium Proceedings 425, 149-160 (1996).


    H. Korner, A. Shiota and C. K. Ober, "Controlled-Order Thermosets for Electronic Packaging", SPE/ANTEC ‘96 Proceedings, 426 (1996).


    A. H. Gabor, C. K. Ober, R. D. Allen and P. Gallagher-Wetmore, "Block and Random Copolymer Resists Designed for 193 nm Lithography and Environmentally Friendly Supercritical CO2 Development", Advances in Resist Technology and Processing XIII, R. R. Kunz, ed. SPIE Proceedings 2724, 410-427 (1996).


    Gallagher-Wetmore, P.; Ober, C. K.; Gabor, A. H.; Allen, R. D.  "Supercritical fluid processing: opportunities for new resist materials and processes".  Proc. SPIE-Int. Soc. Opt. Eng. (1996), 2725 (Metrology, Inspection, and Process Control for Microlithography X), 289-299.


*    D. Xu, R. H. Crepeau, C. K.Ober, J. H. Freed, "Molecular Dynamics of a Liquid Crystalline Polymer Studied by Two-Dimensional Fourier Transform and CW ESR", J. Phys. Chem., 1996, 100, 15873-15885.


*    D. Xu, E. Hall, C. K.Ober, J. K. Moscicki, J. H. Freed, "Translational Diffusion in Polydispersed Polymer Samples Studied by Dynamic Imaging of Diffusion ESR", J. Phys. Chem., 1996, 100, 15856-15866.


*    D. Xu, D. E. Budil, C. K.Ober, J. H. Freed, "Rotational Diffusion and Order Parameters of a Liquid Crystalline Polymer Studied by ESR:  Molecular Weight Dependence", J. Phys. Chem., 1996, 100, 15867-15872.


    C. K. Ober, H. Korner, A. Shiota and M. Laus, "The Curing of Dicyanate Ester Liquid Crystalline Thermosets", Angew. Makromol. Chem., 240, 59-66 (1996).


    J. Wang, C. K. Ober and E. J. Kramer, "Synthesis of Novel Low Surface Energy Semifluorinated Alkyl Side Chain Ionenes", Polymer Preprints: Proc. ACS Div. Polym. Chem.37(2), 815 (1996).


    S. Perutz, J. Wang, C. K. Ober and E. J. Kramer, "Adhesion between Hydrolyzed Surfaces of PDMS Networks", Polymer Preprints: Proc. ACS Div. Polym. Chem.37(2), 45 (1996).


*    A. H. Gabor and C. K. Ober, "Group Transfer Polymerization of tert-Butyl Methacrylate and 3-Methacryloxypropylpentamethyldisiloxane:  Synthesis and Characterization of Homopolymers, Random and Block Copolymers", Chem. Mater., 8, 2272-2281, (1996).


*    A. H. Gabor, L.C. Pruette and C. K. Ober, "Lithographic Properties of Poly(tert-butyl methacrylate)-based Block and Random Copolymer Resists Designed for 193 nm Wavelength Exposure Tools", Chem. Mater., 8, 2282-2290, (1996).


*    C. K. Ober and A. H. Gabor, "Block Copolymers as Lithographic Materials", J. Photopolymer Sci. & Tech., 9(1), 1-12,  (1996).


*    J. T. Chen, E.L. Thomas, C.K.Ober and G.-P. Mao, "Novel Self-Assembled Smectic Phases in Rod-Coil Block Copolymers", Science, 273, 343-346 (1996).


*    H. Korner, A. Shiota, C.K. Ober and T. Bunning, "Orientation-On-Demand Thin Films: Curing of Liquid Crystalline Networks in AC Electric Fields", Science, 272, 252-255 (1996).


*    A. Shiota and C. K. Ober, "Synthesis and Curing of Novel LC Twin Epoxy Monomers for Liquid Crystal Thermosets", J. Polym. Sci.: Polym. Chem. Ed., 34, 1291 (1996).


    H. Korner and C.K. Ober, "Tuning Physical Properties And Mesophase Behavior In Liquid Crystalline Thermoset Mixtures", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 74, 133 (1996).


    A. Shiota and C. K. Ober, "Building a Layered Structure from Liquid Crystalline Thermosets Materials Using an A.C. Electric Field", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 74, 137 (1996).


    H. Korner and C. K. Ober, "Transient Structures of LC Polymers in Electric Fields Observed by Synchrotron Radiation", Polymer Preprints: Proc. ACS Div. Polym. Chem.37(1), 58 (1996).


*    D. R. Iyengar, S. M. Perutz, C. Dai, C. K. Ober and E. J. Kramer, "Surface Segregation Studies of Fluorine-Containing Diblock Copolymers", Macromolecules, 29, 1229-1234 (1996).


*    N. A. Johnen, L. L. Beecroft and C. K. Ober , "Formation Of Transparent Silica-Polymer Hybrids Based On Siloxane-Containing Poly(Imides)", in J. Hedrick and J. Labadie, ed., Recent Advances in Step Growth Polymerization, ACS Symposium Series 624, American Chemical Society, Washington, DC 1996.


1995

*    L. L. Beecroft and C.K. Ober, "Novel Ceramic Particle Synthesis For Optical Applications:  Dispersion Polymerized Preceramic Microspheres As Size Templates For Fine Ceramic Powders",  Adv. Mater., 1995, 7, 1009-1012.


    M. Laus, R. Pernozzoli, H. Korner and C.K. Ober, "Comportamento Dinamico-Meccanico di Network Triazinici Liquido Cristallini", Proceedings of the 12th Italian AIM Congress on the Science and Technology of Macromolecules, 661, (1995).


*    E. Reichmanis, C.K. Ober, S. MacDonald, T. Iwayanagi, and T. Nishikubo, ed., Microelectronics Technology:  Polymers in Advanced Imaging and Packaging, ACS Symposium Series 614, American Chemical Society, Washington, DC 1995.


*    A. Gabor and C.K. Ober, "Silicon-containing Block Copolymers as Microlithographic Resists", pg. 281-298.


    E. Hall, C. K. Ober, R.A. Gaudiana and E. Kolb, "Melt Diffusion in Liquid Crystalline Polymers:  Rigid Rod vs. Semi-Rigid Rod Model Systems",  53rd Annu. Tech. Conf. - Soc. Plast. Eng.,  2, 1950-4, 1995.


    A. Shiota and C. K. Ober, "Twin Mesogen Liquid Crystalline Thermosets", Polymer Preprints: Proc. ACS Div. Polym. Chem.36(2), 348 (1995).


    H. Korner and C. K. Ober, "Curing Of Liquid Crystalline Networks In Electric Fields:  Preparation of Oriented Thin Films", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 73, 456 (1995).


    L. L. Beecroft, C. K. Ober, D. B. Barber, C. R. Pollock, J. L. Mass, and J. M. Burlitch, "Optical Composite Materials", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 73, 162 (1995).


*    G. Sacripante, C.K. Ober, T. Bluhm, M. Panettoni and L. Alexandru, "Thermotropic Liquid Crystalline Polymers with Low Thermal Transitions. II.  Low Melting Thermotropic Liquid Crystalline Homo- and Co-Polyesters", J. Polym. Sci.:  Part A:  Polym. Chem., 33, 1913-1916 (1995).


    S. Clingman and C.K. Ober, "Star Branched LC Polyethers", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 72, 238 (1995).


    A.H. Gabor, M. Chan and C.K. Ober "Synthesis of Poly(t-Butyl Methacryate) Based Block and Random Copolymers for 193 nm Lithography, Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 72, 104 (1995).


    C. K. Ober and N. A. Johnen, "Preparation Of Polyimide - Silica Organic / Inorganic Hybrids", Polymer Preprints: Proc. ACS Div. Polym. Chem.36(1), 715 (1995).


*    S.G. McNamee, T.J. Bunning, S.S. Patnaik, W. W. Adams, and C.K. Ober, "The Effect of Electric and Magnetic Fields on the Melt Organization of a Nematic Cyclic Siloxane Liquid Crystal", Liquid Crystals, 18(5), 787-794, (1995).


*    E. Hall, A. A. Robinson, S.G. McNamee, C.K. Ober, Ya. S. Freidzon, "Nematic-Smectic Biphase of a Main-Chain Liquid Crystalline Polyether", J. Mat. Sci., 30(8), 2023-2028 (1995).


*    S. S. Hwang, C. K. Ober, S. Perutz, D. Iyengar, B. Schneggenburger and E. J. Kramer, "Block Copolymers With Low Surface Energy Segments: Fluorinated and Siloxane Modified Blocks", Polymer, 36(6), 1321-1325 (1995).


*    J. T. Chen, E. L. Thomas, S. S. Hwang and C. K. Ober, "The Zig-Zag Morphology of a Poly(styrene-b-hexyl isocyanate) Rod-Coil Block Copolymer", Macromolecules, 28 (5), 1688-1697 (1995).


1994

    A. H. Gabor, M. Y. Chan and C. K. Ober, "Block Copolymers for Resist Applications", Proceedings of the 10th International SPE Conference on Photopolymers, Ellenville, NY, 339 - 342 (1994).


*    J. R. Gillmor, R. H. Colby, E. Hall and C. K. Ober, "Viscoelastic Properties of a Model Main-Chain Liquid Crystalline Polyether", J. Rheol., 38, 1623 (1994).


*    N. Johnen, H. K. Kim and C. K. Ober, "Polyphenylene Copolymers as Materials for Microelectronics",  in H. Ito, S. Tagawa and K. Horie, ed., Polymeric Materials for Microelectronic Applications, ACS Symposium Series 579, American Chemical Society, Washington, DC 1994.


*    C. A. Dai, K. H. Dai, C. K.Ober, E. J. Kramer, C.-Y. Hui and L. W. Jelinski, "Reinforcement of Polymer Interfaces with Random Copolymers", Phys. Rev. Let., 73(18), 2472 (1994).


*    A. H. Gabor, E. A. Lehner, G. Mao, L. A. Schneggenburger and C. K. Ober, "Synthesis and Lithographic Characterization of Block Copolymer Resists Consisting of Both Poly(styrene) Blocks and Hydrosiloxane-Modified Poly(diene) Blocks", Chem. Mater., 6, 927 (1994)


*    S.G. McNamee, C.K. Ober, T.J. Bunning, C.M. McHugh and W.W. Adams, "Probing the Electric Field Alignment of a Thermotropic Liquid Crystal with Synchrotron Radiation", Liq. Cryst., 17, 179-190 (1994).


*    D. G. Park, M.H.E. Martin, J.M. Burlitch, C.K. Ober, O.B. Cavin, W.D. Porter and C. R. Hubbard, "Crystallization of Precursors to Forsterite and Cr-Doped Forsterite", J. Am. Ceram. Soc., 77, 33-40 (1994).


    D. Xu, J.K. Moscicki, D. Budil, J.H. Freed, E. Hall and C.K.Ober, "ESR Studies of Molecular Dynamics of a Crystalline Polyether", Polymer Preprints: Proc. ACS Div. Polym. Chem.35(1), 327 (1994).


*    S. G. Mcnamee, C. K. Ober, L. W. Jelinski, E. Ray, Y. Xia, and D. Grubb, "Toward Single Fiber Diffraction Of Spider Dragline Silk From Nephila Clavipes", in D. Kaplan, W. Adams, B. Farmer, and C. Viney, ed., "Silk Polymers:  Materials Science and Biotechnology", ACS Symposium Series 544, American Chemical Society, Washington, DC (1994).


1993

*    T.J. Bunning, S.G. McNamee, C.M. McHugh, S. S. Patnaik, C.K. Ober, and W.W. Adams, "Synchrotron X-Ray Radiation (CHESS) Study Of Electric-Field Induced Changes In The Structure Of Thermotropic Side-Chain Liquid Crystals" in MRS Symp. Proc. 307, 311-316, Ed., D.L. Perry, N.D. Shinn, R.L., Stockbauer, K.L. D'Amico, and L.J. Terminello, Materials Research Society, Pittsburgh, PA, 1993.


    A. Gabor, E. Lehner, G. Mao, C.K.Ober, T. Long, B. Schell and R. Tiberio, "Hydrosiloxane modified styrene-diene block copolymer resists",  Proc. SPIE-Int. Soc. Opt. Eng.:   Advances in Resist Technology and Processing X, 1925, 499-506 (1993).


    C.K. Ober, E.L. Thomas and J.Moore, "Conference Report on Synthesis Of Macromolecules with Precisely Controlled Structures for New Materials", Trends in Polymers, 1(12), 406 (1993).


    C.K.Ober, "Synthetic Studies Of Poly(phenylene) and Its Copolymers For Low Dielectric, Thin Films", Proc. Int. Workshop. on Functional Organic Materials, pg. 7, Korea University, Seoul, Korea (1993).


    Ya. S. Freidzon, H. Zhong and C.K.Ober, "Thermosets Based On Blends Of Liquid Crystalline Polymers And Mesogenic Dicyanates", Polymer Preprints: Proc. ACS Div. Polym. Chem.34(2), 698 (1993).


    N. A. Johnen, H.K. Kim and C.K.Ober, "Development of New Composite Materials based on Siloxane-containing Poly(imides)", Polymer Preprints: Proc. ACS Div. Polym. Chem.34(2), 392 (1993).


    A.H. Gabor and C.K.Ober, "Group Transfer Polymerization of Silicon-containing Methacrylates:  Model Polymerization and Synthesis of Block Copolymers", Polymer Preprints: Proc. ACS Div. Polym. Chem.34(2), 576 (1993).


    G. P. Mao, S.R. Clingman, C.K.Ober and T.E. Long, "Synthesis and Characterization of Liquid Crystal/Coil Diblock Copolymers Containing Azobenzene Moieties", Polymer Preprints: Proc. ACS Div. Polym. Chem.34(2), 710 (1993).


    A. Robinson, S.G. McNamee, Ya. S. Freidzon and C.K.Ober, "Novel Liquid Crystalline Thermosets:  Microstructural Evolution of an LC Mesophase During the Curing Process", Polymer Preprints: Proc. ACS Div. Polym. Chem.34(2), 576 (1993)


    C. K. Ober, M. H. E. Martin and L. Beecroft, "Polymer Precursors to Silicate Ceramics:  Studies Of Ceramic Formation", Polymer Preprints: Proc. ACS Div. Polym. Chem.34(1), 256 (1993).


    A. H. Gabor, E.A. Lehner, T. E. Long, G. Mao, E. C. Rauch, B. A. Schell, and C.K.Ober, "Hydrosilylation of Styrene-Isoprene Block Copolymers", Polymer Preprints: Proc. ACS Div. Polym. Chem.34(1), 284 (1993).


    H. K. Kim, G. Yin and C.K. Ober, "Synthesis and Characterization of Polyphenylene-Silica Hybrid Materials via Sol-Gel Processing", Polymer Preprints: Proc. ACS Div. Polym. Chem.34(1), 298 (1993).


*    E. Hall, C. K. Ober, E. J. Kramer, R. H. Colby, and J. R. Gillmor, "Diffusion and Melt Viscosity of a Main-Chain Liquid Crystalline Polyether", Macromolecules, 26, 3764-3771 (1993).


*    H.K. Kim and C.K. Ober, "Development Of Poly(Phenylene)-Based Materials For Thin Film Applications:  Optical Waveguides And Low Dielectric Materials", J. Macromol. Sci. - Pure and Appl. Chem., A30(12), 877-897 (1993).


*    G.G. Barclay and C.K. Ober, "Liquid Crystalline and Rigid-Rod Networks", Prog. Polym. Sci., 18, 899-945 (1993).


*    E. Hall, C.K. Ober, and G. Galli, "The Temperature Dependence of Nematic Liquid Crystalline Polymer Melt Diffusion", Liq. Cryst., 14, 1351 (1993).


*    G. Galli, S. G. McNamee, and C. K. Ober, "An Investigation Of The Smectic-Isotropic Transition In A Side-Chain Liquid Crystal Polymer By Synchrotron Radiation X-Ray Diffraction", J. Polym. Sci.:  Polym. Phys. Ed., 31, 773-777 (1993).


*    R.H. Colby, J.R. Gillmor, G. Galli, M.Laus, C.K.Ober and E. Hall, "Linear Viscoelasticity of Side-Chain Liquid Crystalline Polymers", Liq. Cryst., 13, 233 (1993).


*    T. E. Mates, C.K. Ober and R. Norwood, "Conductivity and Third-Order Nonlinear Optical Measurements of Polymers with Distyrylbenzene and Diphenylbutadiene Segments", Chem. Mater., 5(2), 217-221 (1993).


1992

*    C. K. Ober, E. Hall and G. Galli, "The Investigation of Melt Diffusion In Liquid Crystalline Polymers by Forward Recoil Spectrometry", Chim. Ind. (Milan)., 74 (8/9), 579-84 (1992).


*    T. E. Mates and C.K. Ober, "New Liquid Crystal Polyethers and Polyesters Based on Diphenylbutadiene Mesogens", J. Polym. Sci.:  Polym. Chem. 30, 2541 (1992).


*    H. K. Kim, S. Kahn, T. Mates, G. G. Barclay, and C. K. Ober, "Development Of New Polymeric Materials For Linear Waveguides", Electronic Packaging Materials Science VI, Mat. Res. Soc. Symp. Proc., 264, 347 (1992).


    C.K. Ober and R. A. Weiss, "Liquid Crystalline Polymers", Condensed Matter News, 1(7), 20 (1992).


    A.H. Gabor, E.A. Lehner, G. Mao, L. A. Schneggenburger and C.K. Ober, "Hydrosilation of Styrene-butadiene Block Copolymers", Polymer Preprints: Proc. ACS Div. Polym. Chem.33(2), 136 (1992).


    T.J. Bunning, S.G. McNamee, H.Klei, E. T. Samulski, C.K.Ober and W.W. Adams, "Synchrotron X-ray Studies of Electric field Alignment of Liquid Crystalline Siloxanes", Polymer Preprints: Proc. ACS Div. Polym. Chem.33(1), 315 (1992).


*    S. McNamee, G. Galli and C.K. Ober, "Time-resolved X-ray diffraction from Liquid Crystalline Systems:  Thermotropic Phase Transitions and the Effect of Applied Electric Fields", Complex Fluids, Mat. Res. Soc. Symp. Proc., 248, 101 (1992).


*    E. Hall, C.K.Ober, E.J. Kramer, R.H. Colby, J.R. Gillmor and G. Galli, "Melt Diffusion in Model Liquid Crystalline Polymers", Complex Fluids, Mat. Res. Soc. Symp. Proc., 248, 113 (1992).


*    M.H.E. Martin, C. K. Ober, C.R. Hubbard, W.D. Porter and O.B.Cavin, "Poly(methacrylate) Precursors to Forsterite", J.Am. Cer. Soc., 75, 1831 (1992).


*    G. G. Barclay, S. McNamee, C.K. Ober, K. Papathomas and D. Wang, "Liquid Crystalline Epoxy Thermosets:  Mechanical and Magnetic Alignment", J. Polym. Sci.:  Polym. Chem. Ed., 30, 1845-1853 (1992).


*    G. G. Barclay, C.K. Ober, K. Papathomas and D. Wang, "Liquid Crystalline Epoxy Thermosets:  Synthesis And Characterization", J. Polym. Sci.:  Polym. Chem. Ed., 30, 1831-1843 (1992).


*    H. K. Kim, C. R. Hove, and C. K. Ober, "Synthesis of Novel Fluorinated s-Conjugated Silicon-containing Polymers:  Polysilynes and Polysilanes", JMS-Pure Appl. Chem., 29, 787 (1992).


*    G. G. Barclay, C.K. Ober, K. Papathomas and D. Wang, "Rigid-rod Thermosets based on 1,3,5-Triazine Linked Aromatic Ester Segments", Macromolecules, 25, 2947 (1992).


*    H.K. Kim and C.K. Ober, "Acid-catalyzed Photoaromatization of Cyclohexadiene-1,2-diol Derivatives into Polyphenylene", Polym. Bull., 28(1), 33 (1992).


1991

    G. G. Barclay, C. K. Ober, K.I. Papathomas and D.W. Wang, "Triazine Rigid-rod Networks", Polymer Preprints: Proc. ACS Div. Polym. Chem., 32(2), 336 (1991).


    M.H.E. Martin and C.K.Ober, "Methacrylate Precursors to Oxide Glasses and Ceramics", Polymer Preprints: Proc. ACS Div. Polym. Chem., 32(2), 534 (1991).


*    G. G. Barclay and C.K. Ober, "Liquid Crystalline Networks as Materials for Microelectronics", Materials Science of High Temperature Polymers for Microelectronics, Mat. Res. Soc. Symp. Proc., 227, 281 (1991).


*    G. G. Barclay, C.K. Ober, K. Papathomas and D. Wang, "Curing and Alignment of Liquid Crystalline Thermosets", Electronic Packaging Materials V, Mat. Res. Soc. Symp. Proc., 203, 265 (1991).


*    T. Mates and C. K. Ober, pg. 497-515, "Model Polymers with Distyrylbenzene Segments for Third-Order Nonlinear Optical Properties",  in Materials for Nonlinear Optics, S.R. Marder, J.E. Sohn and G. D. Stucky, ed., ACS Symposium Series 455, American Chemical Society, Washington, DC (1991).


    T. Mates and C. K. Ober, "Thermotropic Polymers with Conjugated Distyrylbenzene and Diphenylbutadiene Segments, Polymer Preprints: Proc. ACS Div. Polym. Chem., 32, 204 (1991).


1990

*    C. K. Ober, A. Delvin  and T. L. Bluhm, "Dynamic X-ray Diffraction Studies of Liquid-Crystalline Polyesters", J. Polym. Sci.:  Polym. Phys., 28, 1057-1062 (1990).


*    A. Misyan and C. K. Ober, "Liquid Crystalline Copolyethers based on Mixed Mesogens", Polym.Bull., 23, 535-542 (1990).


*    T. Mates, C. K. Ober, B. Angelopoulos and H. Martin, "Environmentally Stable Polymers From Soluble Intermediates", Advanced Electronic Packaging Materials, Mat. Res. Soc. Symp. Proc., 167, 123 (1990).


*    C.K.Ober, "Dispersion Copolymerization In Non-Aqueous Media", Makromol. Chem., Macromol. Symp., 35/36, 87 (1990).


*    R. A. Weiss and C. K. Ober, ed., Liquid-Crystalline Polymers, . ACS Symposium Series 435, American Chemical Society, Washington, DC (1990).


*    C. K. Ober, S. McNamee, A. Delvin and R. Colby,  pg. 220-240, "Chemical Heterogeneity in LC Polyesters" 

*    C. K. Ober and R. A. Weiss, pg. 1-16, "Current Topics in Liquid-Crystalline Polymers".


*    T. Mates and C. K. Ober, "New Thermotropic Polyesters from Distyrylbenzene  Bisphenols",  J. Polym. Sci.:  Letters, 28, 331-339 (1990).


    H. Martin and C. K. Ober, "Organometal Polymer Precursors to Glass-Ceramic Composites", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 58, 908 (1990).


    G.G. Barclay, C. K. Ober, K. Papathomas and D. Wang, "Liquid Crystalline Epoxy Networks", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 63, 356 (1990).


    G.G. Barclay, S.G. McNamee and C. K. Ober, "Mechanical And Magnetic Orientation Of Liquid Crystalline Epoxy Networks", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 63, 387 (1990).


    E. Angelopoulos, C. K. Ober and E. J. Kramer, "Melt Diffusion in Model LC Polymers", Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 63, 450 (1990).


1989

*    A. Delvin, C. K. Ober and T. L. Bluhm, "Studies of Liquid Crystalline Polymer Phase Transitions Using Synchrotron X-Radiation", Macromolecules,  22, 498 (1989).


*    C. K. Ober, "Simulations of 1H-NMR Spectra of Polymers with Varying Tacticities", J. Chem. Ed., 66 (8), 645 (1989).


    C. K. Ober and S. McNamee, "Chemical Heterogeneity In LC Polyesters", Polymer Preprints: Proc. ACS Div. Polym. Chem., 30, 485 (1989).


1988

*    A. Delvin and C. K. Ober, "Liquid Crystalline Polyesters by Staged-Addition Polycondensation", Polym. Bull., 20, 45 (1988).


    A. Delvin, C. K. Ober  and T. L. Bluhm, "Synchrotron Radiation Studies of Model Liquid Crystalline Polyesters",  Proc. ACS Div. Polym. Mat.:  Sci. & Eng., 58, 1029 (1988).


1987

    C. K. Ober, "The Formation of Monodisperse Polymer Microspheres from Styrene and Styrene-based Systems, Polymer Preprints: Proc. ACS Div. Polym. Chem., 28, 248 (1987)


*    F.M.Winnik, M.A.Winnik, S.Tazuke and C.K.Ober, "Synthesis and Characterization of Pyrene-Labelled (Hydroxypropyl)Cellulose and its Fluorescence in Organic Solvents", Macromolecules, 20(1), 38 (1987).


*    C.K.Ober and K.P.Lok, "The Formation of Large Monodisperse Copolymer Particles by Dispersion Polymerization", Macromolecules, 20, 268 (1987).


*    C.K.Ober and M.L.Hair, "The Effect of Temperature and Initiator Levels on the Dispersion Polymerization of Polystyrene", J. Polym.Sci.:  Chem. Ed.,  25, 1395 (1987).


*    C.K.Ober and T.L.Bluhm, "Thermotropic Liquid Crystalline Polymers:  The Effect of Molecular Composition on Physical Properties", Chapter 4.3 in Current Topics in Polymer Science , Vol. 1,  S. Inoue, L.A.Utracki, and R.M.Ottenbrite, ed., Hanser, New York, 1987.


*    F. Winnik and C.K.Ober, "Coloured Particles by Dispersion Polymerization", Eur. Polym. J., 23, 617 (1987).


1986

*    C.K.Ober and T.L.Bluhm, "Thermotropic Liquid Crystalline Polyesters Containing Naphthalenic Mesogenic Groups", Polym. Bull., 15, 233 (1986).


*    C.K.Ober, F.Vangrunsven, M.McGrath and M.L.Hair, "Partitioning of Monomer During Dispersion Polymerization", Colloids & Surfaces, 21,347 (1986).


1985

*    K. P.Lok and C. K. Ober, "Particle Size Control in the Dispersion Polymerization of Polystyrene", Can. J.Chem., 63, 209 (1985).


*    C. K.Ober, K. P. Lok, and M. L. Hair, "Monodispersed, Micron-Sized Polystyrene Particles in Dispersion Polymerization", J. Polym. Sci.:  Letters Ed., 23, 103 (1985).


1984

*    Ch.K.Ober, J.-I. Jin, Q.F. Zhou and R.W.Lenz, "Liquid Crystal Polymers with Flexible Spacers in the Main Chain", Adv. Pol. Sci., 59, 103 (1984).


*    E.Chiellini, G.Galli, R.W.Lenz and C.K.Ober, "Multicomponent Segmented Polyester Systems Containing Mesogenic Residues", in Polymer Blends, M.Kryszewski, A.Galeski and E. Martuscelli, ed., Plenum, New York, NY (1984).


1983

*    C.K.Ober, J.-I. Jin and R.W. Lenz, "Liquid Crystal Polymers, 13.  A Smectic Polyester with Triad Mesogenic Groups and a Polymethylene Spacer in the Main Chain", Makromol. Chem., Rapid Commun., 4, 49 (1983).


*    C.Ober, R.W.Lenz, G.Galli and E.Chiellini, "Liquid Crystalline Polymers. 12.  Polyesters with Either Alternating or Random Orientation of Mesogenic Units", Macromol., 16, 1034 (1983).


*    J.-I.Jin, Y.-S.Chung, R.W.Lenz and C.K.Ober, "Synthesis and Properties of Thermotropic Compounds with Two Terminal Mesogenic Units and a Central Spacer (II).  Homologous Series of a,?-Bis(4-p-substituted phenoxy¬ carbonyl)¬ phenoxy¬ alkanes", Bull. Kor. Chem. Soc., 4(3), 143 (1983).


1982

*    J.-I. Jin, B.W. Jo, C. Ober and R.W.Lenz, "Liquid Crystal Polymers.  9.  Synthesis and Properties of Main Chain Thermotropic Polyesters with Bromo- or Methyl-p-phenylene-bis-terephthalate Mesogenic Units and Hexamethylene Spacers", Polymer (Korea), 2(6), 136 (1982).


*    G.Galli, E.Chiellini, C.K.Ober and R.W.Lenz, "Liquid Crystalline Polymers, 8. Structurally Ordered Thermotropic Polyesters of Glycol Ethers", Makromol. Chem., 183, 2693 (1982).


*    C.Ober, J.-I.Jin and R.W.Lenz, "Liquid Crystal Polymers. V. Thermotropic Polyesters with Either Diad or Triad Aromatic Mesogenic Units and Flexible Polymethylene Spacers in the Main Chain", Polym. J. (Japan), 14, 9 (1982).


*    G.Galli, P.Nieri, C.K.Ober and E.Chiellini, "Preparation and Properties of Mesomorphic Oligo(oxalates)s", Makromol. Chem., Rapid Commun., 3, 543 (1982).


*    E. Chiellini, G.Galli, R.W.Lenz and C.K.Ober, "Segmented Polyesters for Biomedical Application:  Synthesis and Utilization in Semipermeable Membrane Manufacture", Proc. IUPAC, IUPAC 28th Macromolecular Symposium, Oxford, UK (1982).


*    Ober, Christopher Kemper.  The synthesis and characterization of some liquid crystalline polyesters based upon the oxybenzoate-terephthalate mesogenic unit.   (1982)  Avail. Univ. Microfilms Int., Order No. DA8219833., 250 pp.  From: Diss. Abstr. Int. B 1982, 43(4), 1125


1981

    G. Galli, E. Chiellini, C. Ober and R.W. Lenz , "Poliesteri di glicoeteri:  sintesi e proprietà  liquido-cristalline", La Chimica e L'industria, 63, 777 (1981).


    G. Galli, P. Nieri, C. Ober and E. Chiellini, "Poliesteri di diacidi alifatici con a,?-diidrossiderivati capaci di originare mesofasi orientate", La Chimica e L'industria, 63, 777-778 (1981).


    E.Chiellini, G.Galli, P.Nieri, R.W.Lenz and C.Ober, "Poliesteri Strutturalmente ordinati con proprietà  liquido-cristalline", 5o Conv. Ital. Sci. Macromol., (Atti), 318 (1981).


*    G. Galli, E. Benedetti, E. Chiellini, C. Ober and R. W. Lenz, "Phase Transitions in Alkylene Glycol Terephthalate Copolyesters Containing Mesogenic p-Oxybenzoate Units", Polym. Bull., 5, 497 (1981).


1980

*    E.Chiellini, R.W.Lenz and C.K.Ober, "Multicomponent Polyester Systems with Mesogenic Units" in Polymer Blends, E. Martuscelli, R. Palumbo and M. Kryszewski, ed., Plenum, London (1980)


*    J.-I. Jin, S. Antoun, C. Ober, and R.W.Lenz, "Thermotropic Liquid Crystalline Polyesters with Rigid or Flexible Spacer Groups", British Polym. J., 12, 132 (1980).


1978

*    A. Rudin, C.K.Ober and K.K.Chee, "Further Comments on a Falling Coaxial Cylinder Viscometer with Wide Shear Rate Range", Rheol. Acta, 17, 312 (1978).